Shield or ring surrounding semiconductor workpiece in plasma...

Adhesive bonding and miscellaneous chemical manufacture – Differential fluid etching apparatus – With mechanical mask – shield or shutter for shielding workpiece

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C313S240000, C118S504000

Reexamination Certificate

active

06689249

ABSTRACT:

FIELD OF THE INVENTION
The invention relates generally to an electrode on which a semiconductor workpiece is mounted in a plasma chamber. More specifically, the invention relates to a collar or ring surrounding the workpiece which can improve the spatial uniformity of a semiconductor fabrication process performed in the chamber.
BACKGROUND OF THE INVENTION
Various semiconductor fabrication processes, such as plasma-assisted etching or chemical vapor deposition, are performed in plasma chambers in which a semiconductor workpiece
20
is mounted on a metal electrode
22
(see FIGS.
1
and
2
). When the workpiece
20
is a circular semiconductor wafer, the cathode
22
generally has a circular top surface on which the wafer rests. Generally, a mixture of process reagent gases is supplied to the chamber while a pump maintains a vacuum inside the chamber. An electrical power source excites the process gas mixture to a plasma state. Typically, a radio frequency (RF) power supply
24
is capacitively coupled to the electrode
22
so as to produce on the electrode a negative bias voltage relative to the plasma body. The bias voltage attracts ions to bombard the workpiece so as to promote the desired fabrication process. Because it is negatively biased, the electrode
22
often is called the cathode electrode or cathode.
One objective in designing a plasma process chamber is to maximize the reaction rate of the plasma-enhanced process being performed in the chamber. The process rate will be undesirably reduced to the extent any portion of the ion flux from the plasma to the cathode bombards exposed portions of the cathode rather than the workpiece. Accordingly, to concentrate the RF current flow toward the workpiece
20
, it is conventional to cover the side of the cathode
22
with a dielectric side shield
28
which is thick enough to present a high electrical impedance to RF current flow between the plasma and the side of the cathode.
In many conventional plasma chambers, the cathode
22
has a substantially larger diameter than the workpiece. To prevent RF current flow between the plasma and the portion of the cathode outside the perimeter of the workpiece, that portion of the cathode conventionally is covered by a dielectric top shield or collar
30
. Like the side shield
28
, the top shield
30
must sufficiently thick so that its electrical impedance reduces to a negligible level the RF current flow between the plasma and the portion of the cathode outside the perimeter of the workpiece.
One problem with conventional dielectric shields
28
,
30
is that, depending on the process chemistry, exposed surfaces of the top shield
30
may be eroded by some of the chemical species present in the plasma, so that the top shield must be replaced periodically. In chambers lacking a top shield
30
, side shield
28
may be exposed to the plasma, so that it will suffer the same erosion problem. Frequent replacement is undesirable because it requires suspending the production line while the chamber is shut down. The erosion of the dielectric shield may be especially severe in processes for etching dielectric layers on semiconductor workpieces, because the etchant species which etch the dielectric layer also may etch the dielectric collar.
Another objective in designing a semiconductor process plasma chamber is achieving spatial uniformity of the fabrication process over the surface of the workpiece. For example, in reactive ion etch processes and chemical vapor deposition processes, the process rate (i.e., the etch rate and deposition rate, respectively) may be slower in the center of the workpiece than at the periphery because the reactive species are more depleted near the center of the workpiece then near the periphery. In other words, such a process suffers from radial non-uniformity.
One conventional method of improving the spatial uniformity in the radial dimension is to surround the perimeter of the workpiece with an elevated cylindrical collar or shroud, sometimes called a focus ring. The elevated collar produces at least three effects, the first two of which typically reduce the process rate near the perimeter of the wafer. One effect of the elevated collar or shroud is that it obstructs reactive process gases outside the collar from travelling toward the wafer, so that the collar increases the depletion of reactive species near the wafer perimeter to more closely match the depletion near the wafer center. Another effect of the elevated collar is that it displaces axially upward the plasma sheath outside the workpiece perimeter, thereby moving the plasma sheath further from the workpiece perimeter, and consequently reducing the reactive species concentration near the perimeter of the workpiece. A third effect is that the elevated collar increases the residence time of reactive species near the perimeter of the wafer, which may either increase or decrease the process rate near the wafer perimeter, depending on the chemistry of the particular process being performed.
The elevated collar or shroud need not be a dielectric material to achieve the effects just described. However, if the elevated collar does contain dielectric material, it can also perform the function described earlier of reducing diversion of ion flux from the plasma to portions of the cathode outside the perimeter of the workpiece. In the conventional design shown in
FIG. 1
, the dielectric collar
30
extends axially above the surface of the wafer so as to combine the previously described functions of both an elevated collar and a dielectric shield.
While conventional elevated collars have been found to improve the spatial uniformity of semiconductor fabrication processes, further improvements in spatial uniformity would be desirable.
SUMMARY OF THE INVENTION
One aspect of the invention is especially useful in oxide etch processes and other plasma-assisted semiconductor fabrication processes which are highly reactive with dielectric materials. In this aspect of the invention, a portion of the cathode electrode which otherwise would be exposed to ion bombardment from the plasma is covered by a dielectric shield, and the shield is covered by a protective ring of non-dielectric material. Preferably, the protective ring is composed of a material which is highly non-reactive with, or resistant to erosion by, the process gases.
Such a protective ring will be eroded at a lower rate than the underlying dielectric, thereby allowing it to be replaced less frequently than a conventional dielectric shield. The protective ring also can prevent reactive species released by reaction of the dielectric ring with the process gases from adversely affecting the semiconductor fabrication process.
Alternatively, instead of being non-reactive with the process gases, the protective ring can be composed of a material which reacts with the process gases in such a way as to not adversely affect the performance of the semiconductor fabrication process.
In oxide etch processes for silicon wafers, the dielectric shield preferably is quartz, and the non-reactive protective ring preferably is silicon.
In a second aspect of the invention, the dielectric shield comprises an axially thick outer shield and an axially thin inner shield surrounding the perimeter of the workpiece. The thick outer dielectric shield provides a relatively high RF impedance to reduce ion flux from the plasma to the portion of the cathode covered by the outer shield. The thin inner dielectric shield provides a lower RF impedance which promotes an ion flux from the plasma to the portion of the cathode just outside the perimeter of the workpiece. Consequently, the thin inner dielectric shield extends the plasma sheath beyond the perimeter of the workpiece, thereby reducing any discontinuity in the plasma sheath near the perimeter. Preferably, the axial thickness of the inner dielectric shield is empirically adjusted to optimize the radial uniformity of the plasma process over the workpiece.
In an optional embodiment of such dielectric shield, a non-dielectric collar covers a

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Shield or ring surrounding semiconductor workpiece in plasma... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Shield or ring surrounding semiconductor workpiece in plasma..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Shield or ring surrounding semiconductor workpiece in plasma... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3285819

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.