Ar-based si-rich oxynitride film for dual damascene and/or...

Semiconductor device manufacturing: process – Coating of substrate containing semiconductor region or of... – Insulative material deposited upon semiconductive substrate

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S769000, C438S786000

Reexamination Certificate

active

06235653

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates to a method of metallization in the fabrication of integrated circuits, and more particularly, to a method of forming an improved etch stop layer for metallization in the manufacture of integrated circuits.
2. Description of the Prior Art
In a common application for integrated circuit fabrication, a contact/via opening is etched through an insulating layer to an underlying conductive area to which electrical contact is to be made. A conducting layer material is deposited within the contact/via opening. The damascene and dual damascene processes have become a future trend in metallization. Trenches or vias and trenches are etched in an insulating layer. The trenches or vias and trenches are inlaid with metal to complete the contacts. In all of these processes, etch stop layers are required to accurately form the trenches and vias. Conventionally, a low pressure chemical vapor deposition (LPCVD) silicon oxynitride etch stop layer has been deposited using argon/helium as the carrier gas or a plasma-enhanced chemical vapor deposition (PECVD) silicon oxynitride etch stop layer has been deposited using helium as the carrier gas. There are a number of disadvantages to this conventional etch stop layer. Specifically, the uniformity across the wafer in terms of thickness, refractivity, and reflectivity of the oxynitride film is highly variable resulting in difficulty in etching and deposition.
U.S. Pat. No. 5,639,687 to Roman et al teaches forming a silicon-rich silicon nitride anti-reflective coating film using a LPCVD process. Oxynitride is not mentioned. U.S. Pat. No. 4,901,133 to Curran et al teaches forming a silicon-rich oxynitride film using a high temperature LPCVD process. U.S. Pat. No. 5,741,626 to Jain et al teaches a tantalum nitride etch stop and anti-reflective coating layer. U.S. Pat. No. 5,818,110 to Cronin shows a dual damascene process using a silicon nitride or silicon oxynitride etch stop layer. U.S. Pat. No. 5,578,523 to Fiordalice et al discloses a silicon nitride etch stop layer and an aluminum nitride polish stop layer deposited in the presence of helium or argon gas with no differentiation between the inert gases.
SUMMARY OF THE INVENTION
A principal object of the present invention is to provide an effective and very manufacturable method of forming a silicon-rich oxynitride etch stop layer in the fabrication of integrated circuit devices.
Another object of the invention is to provide a method of forming a PECVD silicon-rich oxynitride layer having improved uniformity across the wafer in terms of layer thickness, refractivity, and reflectivity.
A further object of the invention is to provide a method of forming a PECVD silicon-rich oxynitride layer using argon as the inert carrier gas in the fabrication of integrated circuits.
Yet another object of the invention is to provide a method of forming a silicon-rich oxynitride layer having improved uniformity across the wafer in terms of layer thickness, refractivity, and reflectivity by using argon as the inert carrier gas.
In accordance with the objects of this invention a new method of forming a PECVD silicon-rich oxynitride layer having improved uniformity across the wafer in terms of layer thickness, refractivity, and reflectivity by using argon as the inert carrier gas is achieved. A semiconductor substrate is provided which may include semiconductor device structures. An Argon-based silicon-rich oxynitride etch stop layer is deposited overlying the semiconductor substrate. An oxide layer is deposited overlying the Argon-based silicon-rich oxynitride etch stop layer. An opening is etched through the oxide layer stopping at the Argon-based silicon-rich oxynitride etch stop layer. Thereafter, the Argon-based silicon-rich oxynitride etch stop layer within the opening is removed. The opening is filled with a conducting layer. This Argon-based silicon-rich oxynitride layer has improved uniformity across the wafer in terms of layer thickness, refractivity, and reflectivity as compared with a helium-based silicon-rich oxynitride layer.


REFERENCES:
patent: 4901133 (1990-02-01), Carran et al.
patent: 5578523 (1996-11-01), Fiordalice et al.
patent: 5639687 (1997-06-01), Roman et al.
patent: 5741626 (1998-04-01), Jain et al.
patent: 5818110 (1998-10-01), Crenin
patent: 5920790 (1999-07-01), Wetzel et al.
patent: 6127238 (2000-10-01), Liao et al.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Ar-based si-rich oxynitride film for dual damascene and/or... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Ar-based si-rich oxynitride film for dual damascene and/or..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Ar-based si-rich oxynitride film for dual damascene and/or... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2528825

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.