Timing closure for system on a chip using voltage drop based...

Data processing: measuring – calibrating – or testing – Testing system – Signal generation or waveform shaping

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

10977031

ABSTRACT:
A timing closure analysis associated with SoCs uses voltage drop based standard delay formats (SDFs). Static timing analysis (STA) is implemented using multiple SDFs, one for each mode (ATPG Test, BIST Test, Functional) as contrasted with doing STA with only one worst-case SDF for all modes. The multiple SDFs account for the impact of dynamic voltage drops on delays in addition to static IR drops.

REFERENCES:
patent: 6453443 (2002-09-01), Chen et al.
patent: 6519748 (2003-02-01), Sakamoto
patent: 6523154 (2003-02-01), Cohn et al.
patent: 6629298 (2003-09-01), Camporese et al.
patent: 6832361 (2004-12-01), Cohn et al.
patent: 6940293 (2005-09-01), Ramarao et al.
patent: 6971079 (2005-11-01), Yee et al.
patent: 2002/0112212 (2002-08-01), Cohn et al.
patent: 2004/0243952 (2004-12-01), Croix
patent: 2004/0249588 (2004-12-01), Shimazaki et al.
patent: 2005/0257077 (2005-11-01), Dutta et al.
patent: 2006/0064659 (2006-03-01), Ushiyama et al.
Kokrady et al., Static Verification of Test Vectors for IR Drop Failure, Nov. 11-13, 2003, ICCAD '03, pp. 760-764.
Saxena et al., A Case Study of IR-Drop in Structured At-Speed Testing, Sep. 30-Oct. 2, 2003, Proceedings ITC 2003 International, vol. 1, pp. 1098-1104.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Timing closure for system on a chip using voltage drop based... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Timing closure for system on a chip using voltage drop based..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Timing closure for system on a chip using voltage drop based... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3925231

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.