Substrate support with extended radio frequency electrode...

Coating apparatus – Gas or vapor deposition – Work support

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C118S7230AN, C118S7230ER

Reexamination Certificate

active

06682603

ABSTRACT:

BACKGROUND OF THE INVENTION
High-density plasma chemical vapor deposition (HDP-CVD) methods, and associated apparatus are frequently used during manufacturing of semiconductor and liquid crystal display devices to deposit dielectric and polysilicon layers on a substrate. Dielectric layers deposited using such methods and apparatus include, for example, shallow trench isolation (STI) dielectric layers, pre-metal dielectric layers and inter-metal dielectric (IMD) layers.
The apparatuses used during HDP-CVD processing typically include a chamber and a substrate support located therein, upon which a substrate is securely positioned. During HDP-CVD processing, a radio frequency (RF) electric field is applied between an RF electrode portion of the substrate support and the chamber to generate a plasma within the chamber and/or bias the plasma towards the substrate.
A common type of substrate support is the electrostatic chuck, although vacuum chucks and clamped chucks can also be employed in HDP-CVD methods and apparatus as substrate supports. Electrostatic chucks employ electrostatic force to securely hold a substrate and are also frequently adapted to control the temperature of the substrate using a heat exchanging fluid. Vacuum chucks employ a vacuum force to hold a substrate. Such a vacuum force can be applied to the backside of a substrate via, for example, radial or annular grooves provided in an upper surface of the vacuum chuck.
Substrate supports employed in HDP-CVD methods and apparatus for the manufacturing of semiconductor devices are adapted to securely hold a semiconductor wafer of predetermined diameter (e.g., a semiconductor wafer with a diameter of 200 mm or 300 mm). The diameter of such conventional chucks is typically equal to, or slightly less than, the predetermined diameter of the semiconductor wafer. As a result, the semiconductor wafer will completely cover or extend beyond the upper surface of the electrostatic chuck. This arrangement prevents undesirable deposition on, or chemical attack of, the upper surface of the conventional chuck (e.g., a conventional electrostatic chuck or conventional vacuum chuck). A further description of substrate supports and their associated apparatus, including electrostatic chucks, is available in commonly assigned U.S. Pat. No. 5,761,023, which is hereby fully incorporated by reference for all purposes.
Two performance parameters for some HDP-CVD processes include: (i) the ability to uniformly deposit a layer of material across the center and edge portions of a substrate; and (ii) the ability to deposit a layer that will completely fill a narrow and high aspect ratio gap (e.g., a narrow shallow trench with an aspect ratio of 4:1 or greater) during semiconductor manufacturing. Some conventional HDP-CVD methods and apparatus, including those apparatus employing conventional chucks, may experience differing performance (e.g., uniformity performance and gap fill performance) near the outer edge of a substrate relative to its center.
Accordingly, methods and apparatus for HDP-CVD processing that improve deposition uniformity and gap fill performance across an entire substrate are desirable.
BRIEF SUMMARY OF THE INVENTION
Embodiments in accordance with the present invention provide apparatuses and methods for supporting a substrate and applying an electrical field to a supported substrate during semiconductor processing. In particular, embodiments of the present invention provide a support structure having an upper surface with a peripheral portion that extends beyond an edge of the supported substrate. Extension of the upper support surface in accordance with embodiments of the present invention repositions to beyond the substrate edge, changes in direction and magnitude of the electric field associated with the edge of the electrode. Methods and apparatuses for supporting a substrate in accordance with the present invention are particularly suited for use in high-density plasma chemical vapor deposition (HDP-CVD) applications, wherein unwanted edge effects such as uneven gap filling at the substrate edge relative to the substrate center can be substantially reduced.
In accordance with one exemplary embodiment of the present invention, a substrate support (e.g., an electrostatic chuck) for a semiconductor fabrication apparatus comprises a conductive portion for providing an electrical field to a supported substrate. The support further includes a dielectric upper surface including a central upper surface portion configured to contact a center of the substrate, and a peripheral upper surface portion recessed from a plane of the supported substrate by a distance of 3 mm or less. The peripheral upper surface portion extends beyond an edge of the supported substrate to provide a homogenous electrical field at an edge of the substrate relative to a center of the substrate.
is adapted to process a substrate and includes an upper surface. The upper surface of the substrate support comprises a central upper surface portion, where a substrate is positioned, and a peripheral upper surface portion, with the peripheral upper surface portion disposed lower than the central upper surface portion. The upper surface extends beyond an outer edge of the substrate when the substrate is positioned on the substrate support.
Extension of the upper surface of the support beyond the edge of the substrate may enhance process performance (e.g., HDP-CVD gap fill performance) for narrow high aspect ratio (>4:1) trenches by reducing electric field edge effects, as well as by improving the directional distribution of ions traveling to the substrate. Furthermore, since the peripheral upper surface portion is recessed relative to the central upper surface portion, a shield structure can be disposed on the peripheral upper surface portion for preventing undesirable deposition on, chemical attack of, or grounding difficulties with, the peripheral upper surface portion without interfering with positioning the substrate on the central upper surface portion.
Also provided by the present invention is a method for depositing a layer on a substrate. The method includes positioning a substrate (e.g., a 200 mm or 300 mm semiconductor wafer) on a substrate support. An upper surface of the substrate support has a central upper surface portion and a peripheral upper surface portion, with the peripheral upper surface portion being disposed lower than the central upper surface portion. The upper surface of the substrate support extends beyond an outer edge of the substrate when the substrate is positioned thereon. After positioning the substrate, a layer is deposited on the substrate using a high density plasma chemical vapor deposition technique.
An embodiment of a method for improving center-to-edge gap fill uniformity of material formed on a substrate by high density plasma chemical vapor deposition (HDP-CVD) comprises providing a substrate support within a processing chamber, the substrate support including an upper surface having a recessed peripheral portion. A substrate is disposed upon the substrate support such that the peripheral recessed portion extends beyond an outer edge of the substrate. An RF bias is applied to the substrate support to create an electric field over the substrate support, the electric field exhibiting a uniform direction and magnitude over the substrate edge relative to a center of the substrate.
An embodiment of an apparatus for performing high density plasma chemical vapor deposition of material upon a substrate comprises a chamber defining a plasma processing region therein, the chamber including a bottom, a side wall, and a dome disposed on top of the side wall, the dome having a dome top and having a side portion defining a chamber diameter. The apparatus further comprises a vacuum system including a pump for evacuating the chamber, a processing gas source in fluid communication with the chamber, a top RF coil disposed above the dome top, a side RF coil disposed adjacent the side portion of the dome, and a substrate bias source. A subst

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Substrate support with extended radio frequency electrode... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Substrate support with extended radio frequency electrode..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Substrate support with extended radio frequency electrode... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3220790

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.