Methods for reducing contamination of semiconductor substrates

Semiconductor device manufacturing: process – Chemical etching – Combined with coating step

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S710000, C438S706000, C438S707000, C438S695000, C438S660000, C438S913000

Reexamination Certificate

active

06528427

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates generally to methods of reducing contamination of semiconductor substrates and, in particular, to in-situ and ex-situ methods of thermally desorbing adsorbed chemical species from the surfaces of semicondutor substrates after processing.
BACKGROUND OF THE INVENTION
Semiconductor substrates are typically subjected to various types of plasma processing such as etching and deposition during the manufacture of semiconductor devices. During plasma processing of semiconductor substrates, however, chemical species present in the reactor can become adsorbed onto the surface of the substrate. Upon removal of the substrate from the plasma chamber, these adsorbed chemical species can react with moisture in the air to form particles. These particles can lead to lower device yields or can reduce the long term reliability of semiconductor devices.
Various methods of removing contaminants from semiconductor substrates have been employed. For example, wet cleaning processes have been used in semiconductor device manufacture to remove contaminants from the substrate surface. However, as device dimensions shrink, it has become increasingly difficult to effectively clean submicron structures using liquid processes. Also, it is often difficult to remove residual moisture from the cleaning process. This moisture can be a potential source of post-manufacture corrosion. Further, wet cleaning involves an additional process step which can add to the cost of the process.
Surface contamination of the substrate surface can also be reduced by dry cleaning methods. Dry cleaning methods do not expose the surface of the substrate to moisture. Additionally, dry cleaning has the added advantage of allowing fewer transfers of the substrate between processes during manufacture and, consequently, fewer exposures of the substrate to air. Methods of dry cleaning semiconductor substrates are disclosed in U.S. Pat. Nos. 4,863,561; 4,906,328; 5,300,187; 5,332,444; 5,350,480; 5,403,434; 5,716,495; 5,821,175; 5,950,071; 5,963,833; 5,994,240; and 6,124,211.
Plasma processes are typically used to remove native oxides before deposition processes and to remove photoresists (e.g., photoresist stripping) after etching. Removal of contaminants by plasma processing, however, is also known. Gupta (U.S. Pat. No. 5,328,555), for example, discloses a process of removing particles from the surface of a substrate by forming a plasma from an inert plasma precursor gas. According to Gupta, the inert plasma lifts loosely adhered particles from the surface of the substrate. Gupta further discloses increasing the flow of the inert gas without increasing the pressure in the vacuum chamber, to “sweep” the particles beyond the surface of the substrate, where they can be removed by the vacuum chamber exhaust system. According to Gupta, particles having a size of about 0.1 micron or larger can be removed in this manner. Denning et al. (U.S. Pat. No. 6,187,682 B1) disclose a method for performing a cleaning operation in-situ along with a physical sputtering operation. The method of Denning et al. involves placing a substrate into a chamber and generating a plasma within the chamber using an inert, noble, or reducing gas. The gas is ionized to form ions which are accelerated towards the substrate to result in physical sputtering of contaminants and oxides from the substrate surface. Following this cleaning operation, power supplied within the chamber is altered so that the ions impact a sputter target to sputter material onto a clean surface of the substrate in an in-situ manner.
There still exists a need for a method of rapidly removing adsorbed chemical species from the surfaces of processed semiconductor substrates in situ before these chemical species can react with moisture to form particles.
In order to transfer a semiconductor substrate into and out of a processing chamber, it is conventional to utilize various robot arm and lift pin arrangements. See, for example, U.S. Pat. Nos. 4,431,473; 4,790,258; 4,842,683; 5,215,619; 5,314,538; and 5,622,400. The transfer of substrates into and out of a processing chamber can itself be a source of particle contamination. Further, as set forth above, any adsorbed chemical species remaining on the substrate surface after processing can react with moisture to form particles. It would therefore be desirable to remove adsorbed chemical species from a substrate surface during transfer of the substrate from a processing chamber. Such an ex-situ technique would allow for increased process efficiencies by utilizing the time in which the substrate is being transferred.
SUMMARY OF THE INVENTION
According to a first embodiment of the invention, a method of reducing surface contamination of a semiconductor substrate is provided. The method includes mounting the substrate on a substrate support in a first processing chamber, processing the substrate, and heating the substrate. As a result of processing, chemical species in the reactor are adsorbed onto the substrate surface. During the heating step, the temperature of the substrate is increased such that adsorbed chemical species are removed from the substrate surface by thermal desorption. Heating can be performed in-situ or ex-situ. The substrate can be heated by conduction, convection, radiant heating and/or, in a preferred embodiment, by treating the substrate with an inert plasma. The method can also include a step of transporting the substrate out of the plasma reactor using a substrate transport mechanism wherein, during the transport step, thermal energy is applied to the substrate and an inert gas is purged over the surface of the substrate. Thermal energy can be applied to the substrate via conduction from a heated transport mechanism, via convection from a radiant heater mounted on the substrate transport mechanism or via forced convection by heating the inert gas and purging the heated inert gas over the substrate surface to heat the substrate.
According to another embodiment of the invention, the method further comprises steps of supplying a heat transfer gas between the substrate and substrate support during the processing step and shutting off the supply of heat transfer gas prior to the heating step. In a further preferred embodiment, a lifter mechanism lifts the substrate off of the substrate support prior to or during the heating step and heating is performed by radiant heating, convection heating, treating the substrate with an inert plasma or combinations thereof.
According to a further embodiment of the invention, a substrate transport mechanism is provided. The substrate transport mechanism includes an arm, a substrate support surface adapted to support a semiconductor substrate during transport, one or more heaters adapted to heat a semiconductor substrate supported on the support surface, and a gas supply adapted to purge an inert gas over the surface of a semiconductor substrate supported on the support surface. The heaters may be resistance heaters or radiant heaters. Alternatively, the inert gas supply can be adapted to purge a heated inert gas over the substrate to heat the substrate by forced convection.


REFERENCES:
patent: 4431473 (1984-02-01), Okano et al.
patent: 4790258 (1988-12-01), Drage et al.
patent: 4842683 (1989-06-01), Cheng et al.
patent: 4863561 (1989-09-01), Freeman et al.
patent: 4870030 (1989-09-01), Markunas et al.
patent: 4906328 (1990-03-01), Freeman et al.
patent: 4940505 (1990-07-01), Schachameyer et al.
patent: 5018479 (1991-05-01), Markunas et al.
patent: 5106764 (1992-04-01), Harriott et al.
patent: 5180435 (1993-01-01), Markunas et al.
patent: 5215619 (1993-06-01), Cheng et al.
patent: 5300187 (1994-04-01), Lesk et al.
patent: 5314538 (1994-05-01), Maeda et al.
patent: 5328555 (1994-07-01), Gupta
patent: 5332444 (1994-07-01), George et al.
patent: 5350480 (1994-09-01), Gray
patent: 5403434 (1995-04-01), Moslehi
patent: 5622400 (1997-04-01), George
patent: 5716495 (1998-02-01), Butterbaugh et al.
patent: 5821175 (1998-10-01), Engelsberg
patent: 5950071 (19

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Methods for reducing contamination of semiconductor substrates does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Methods for reducing contamination of semiconductor substrates, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Methods for reducing contamination of semiconductor substrates will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3015608

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.