Low power decompression of test cubes

Error detection/correction and fault detection/recovery – Pulse or data error handling – Digital logic testing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C714S732000, C714S739000

Reexamination Certificate

active

08046653

ABSTRACT:
Disclosed below are representative embodiments of methods, apparatus, and systems used to generate test patterns for testing integrated circuits. Embodiments of the disclosed technology can be used to provide a low power test scheme and can be integrated with a variety of compression hardware architectures (e.g., an embedded deterministic test (“EDT”) environment). Certain embodiments of the disclosed technology can reduce the switching rates, and thus the power dissipation, in scan chains with no hardware modification. Other embodiments use specialized decompression hardware and compression techniques to achieve low power testing.

REFERENCES:
patent: 5831992 (1998-11-01), Wu
patent: 5991909 (1999-11-01), Rajski et al.
patent: 6256760 (2001-07-01), Carron et al.
patent: 6327687 (2001-12-01), Rajski et al.
patent: 6353842 (2002-03-01), Rajski et al.
patent: 6501288 (2002-12-01), Wilsher
patent: 6543030 (2003-04-01), Rajski et al.
patent: 6557129 (2003-04-01), Rajski et al.
patent: 6684358 (2004-01-01), Rajski et al.
patent: 6874109 (2005-03-01), Rajski et al.
patent: 6950974 (2005-09-01), Wohl et al.
patent: 7155648 (2006-12-01), Jas et al.
patent: 7412637 (2008-08-01), Wang et al.
patent: 7484151 (2009-01-01), Balakrishnan et al.
patent: 7512851 (2009-03-01), Wang et al.
patent: 7558996 (2009-07-01), Kiryu
patent: 7647540 (2010-01-01), Rajski et al.
patent: 7797603 (2010-09-01), Rajski et al.
patent: 2003/0120988 (2003-06-01), Rajski et al.
patent: 2004/0128599 (2004-07-01), Rajski et al.
patent: 2004/0148554 (2004-07-01), Dervisoglu et al.
patent: 2005/0081130 (2005-04-01), Rinderknecht et al.
patent: 2006/0095818 (2006-05-01), Bratt et al.
patent: 2006/0236176 (2006-10-01), Alyamani et al.
patent: 2008/0052578 (2008-02-01), Rajski et al.
patent: 2008/0052586 (2008-02-01), Rajski et al.
patent: 2010/0138708 (2010-06-01), Rajski et al.
Krishna, C.V. ; Touba, N.A. ; This paper appears in: Computer Aided Design, 2003. ICCAD-2003. International Conference on Issue Date : Nov. 9-13, 2003, on pp. 863—Print ISBN: 1-58113-762-1—Cited by : 12 INSPEC Accession No. 8000227 Digital Object Identifier : 10.1109/ICCAD.
Benware et al., “Impact of Multiple-Detect Test Patterns on Product Quality,”Proc. ITC, pp. 1031-1040 (2003).
Bonhomme et al., “A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores,”Proc. ATS, pp. 253-258 (2001).
Bonhomme et al., “Power Driven Chaining of Flip-flops in Scan Architectures,”Proc. ITC, pp. 796-803 (2002).
Butler et al., “Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques,”Proc. ITC, pp. 355-364 (2004).
Chakravarty et al., “Two Techniques for Minimizing Power Dissipation in Scan Circuits During Test Applications,”Proc. ATS, pp. 324-329 (1994).
Chandra et al., “Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip,”Proc. DAC, pp. 166-169 (Jun. 2001).
Chandra et al., “Low-Power Scan Testing and Test Data Compression for System-on-a-Chip,”IEEE Trans. on Computer-Aided Design, vol. 21, No. 5, pp. 597-604 (May 2002).
Chandra et al., “Reduction of SOC Test Data Volume, Scan Power and Testing Time Using Alternating Run-Length Codes,”Proc. DAC, pp. 673-678 (2002).
Chiu et al., “Jump Scan: A DFT Technique for Low Power Testing,”VLSI Test Symp., pp. 277-282 (2005).
Chou et al., “Scheduling Tests of VLSI Systems Under Power Constraints,”IEEE Trans. VLSI, vol. 5, No. 2, pp. 175-185 (Jun. 1997).
Czysz et al., “Low Power Embedded Deterministic Test,”VLSI Test Symp., 9 pp. (May 6-10, 2007).
Gerstendörfer et al., “Minimized Power Consumption for Scan-Based BIST,” 8 pp. (also published as Gerstendörfer et al., “Minimized Power Consumption for Scan-Based BIST,”Proc. ITC, pp. 77-84 (1999)).
Girard et al., “A Test Vector Inhibiting Technique for Low Energy BIST Design,” 6 pp. (also published as Girard et al., “A Test Vector Inhibiting Technique for Low Energy BIST Design,”VLSI Test Symp., pp. 407-412 (1999)).
Girard et al., “High Defect Coverage with Low-Power Test Sequences in a BIST Environment,”IEEE Design&Test, pp. 44-52 (2002).
Hertwig et al., “Low Power Serial Built-In Self-Test,”Proc. ETS, pp. 49-53 (1998).
Huang et al., “A Token Scan Architecture for Low Power Testing,”Proc. ITC, pp. 660-669 (2001).
Iyengar et al., “Precedence-Based Preemptive, and Power-Constrained Test Scheduling for System-on-Chip,” 7 pp. (also published as Iyengar et al., “Precedence-Based Preemptive, and Power-Constrained Test Scheduling for System-on-Chip,”VLSI Test Symp., pp. 368-374 (2001)).
Jas et al., “Using an Embedded Processor for Efficient Deterministic Testing of System-on-a-Chip,”Proc. ICCD, pp. 418-423 (1999).
Kajihara et al., “Test Vector Modification for Power Reduction during Scan Testing,”VLSI Test Symp., pp. 160-165 (2002).
Lee et al., “LFSR-Reseeding Scheme Achieving Low-Power Dissipation During Test,”IEEE Trans. on Computer-Aided Design, vol. 26, No. 2, pp. 396-401 (Feb. 2007).
Lee et al., “Low Power Test Data Compression Based on LFSR Reseeding,” 6 pp. (also published as Lee et al., “Low Power Test Data Compression Based on LFSR Reseeding,”Proc. ICCD, pp. 180-185 (2004)).
Mrugalski et al., “New Test Data Decompressor for Low Power Applications,”Proc. DAC, 6 pp. (Jun. 4-8, 2007).
Mrugalski et al., “Ring Generators—New Devices for Embedded Test Applications,”IEEE Trans. on Computer-Aided Design, vol. 23, No. 9, pp. 1306-1320 (Sep. 2004).
Office action dated May 22, 2009, from U.S. Appl. No. 11/880,192.
Rajski et al., “Embedded Deterministic Test,”IEEE Trans. on Computer-Aided Design, vol. 23, No. 5, pp. 776-792 (May 2004).
Rajski et al., “Modular Logic Built-In Self-Test for IP Cores,”IEEE Int'l Test Conf., pp. 313-321 (Oct. 1998).
Rajski et al., “Test Data Decompression for Multiple Scan Designs with Boundary Scan,”IEEE Trans. on Computers, vol. 47, No. 11, pp. 1188-1200 (Nov. 1998).
Rajski et al., “X-Press Compactor for 1000x Reduction of Test Data,”Proc. ITC, pp. 1-10 (Oct. 2006).
Remersaro et al., “Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs,”Proc. ITC, pp. 1-10 (Oct. 2006).
Rosinger et al., “Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-seeding,” 6 pp. (also published as Rosinger et al., “Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-seeding,”Proc. ICCD, pp. 474-479 (2002)).
Sankaralingam et al., “Static Compaction Techniques to Control Scan Vector Power Dissipation,” 6 pp. (also published as Sankaralingam et al., “Static Compaction Techniques to Control Scan Vector Power Dissipation,”VLSI Test Symp., pp. 35-40 (2000)).
Sankaralingam et al., “Reducing Power Dissipation During Test Using Scan Chain Disable,”VLSI Test Symp., pp. 319-324 (2001).
Sankaralingam et al., “Controlling Peak Power During Scan Testing,”VLSI Test Symp., pp. 153-159 (2002).
Saxena et al., “An Analysis of Power Reduction Techniques in Scan Testing,”Proc. ITC, pp. 670-677 (2001).
Sinanoglu et al., “Test Power Reduction Through Minimization of Scan Chain Transitions,” 6 pp. (also published as Sinanoglu et al., “Test Power Reduction Through Minimization of Scan Chain Transitions,”VLSI Test Symp., pp. 166-171 (2002)).
Wang et al., “An Automatic Test Pattern Generator for Minimizing Switching Activity During Scan Testing Activity,”IEEE Trans. on Computer-Aided Design, vol. 21, No. 8, pp. 954-968 (Aug. 2002).
Wang et al., “ATPG for Heat Dissipation Minimization During Test Application,”IEEE Tra

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Low power decompression of test cubes does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Low power decompression of test cubes, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Low power decompression of test cubes will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4271013

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.