Inductively coupled plasma downstream strip module

Adhesive bonding and miscellaneous chemical manufacture – Differential fluid etching apparatus – With microwave gas energizing means

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C118S7230IR

Reexamination Certificate

active

06203657

ABSTRACT:

BACKGROUND OF THE INVENTION
The present invention relates to plasma processing modules for the processing of a semiconductor substrate in the manufacture of integrated circuits. More particularly, the present invention relates to downstream, inductively coupled plasma processing modules and methods of using the modules during the processing of the semiconductor substrates.
Semiconductor substrates are typically processed using plasma processing modules to perform various process steps during the manufacture of the semiconductor devices. Generally, these plasma-enhanced processes are well known to those skilled in the art and include various etching processes and stripping processes.
In recent trends, plasma-enhanced processes have been more frequently used to perform resist stripping. Traditionally, the resist stripping or ashing process has been considered a fairly straight forward process. However, due to the small feature size and increased complexity of devices now common in the semiconductor industry, conventional plasma processing modules tend to cause plasma-induced damage to the semiconductor devices during the processing of the semiconductor substrates. To more thoroughly illustrate the problems associated with the use of conventional plasma processing modules, a prior art inductively coupled plasma processing module
100
will be described with reference to FIG.
1
.
As illustrated in
FIG. 1
, plasma processing module
100
includes a plasma chamber
102
formed by chamber walls
104
and dielectric window
106
. Plasma processing module
100
includes a feed gas inlet
108
for allowing feed gasses
109
to flow into chamber
102
. An exhaust port
110
is also provided for exhausting gases from chamber
102
. An inductive source
112
, typically taking the form of a coil positioned on dielectric window
106
, is used to energize feed gases
109
within chamber
102
and strike a plasma within the chamber. In this example, inductive source
112
is powered by RF power supply
114
.
With the above described configuration, the shape of inductive source
112
causes the plasma within chamber
102
to form a plasma having a primary dissociation zone
116
. This primary dissociation zone is the region within the chamber that the plasma most efficiently dissociates feed gases
109
(for example O
2
and H
2
O vapor) into neutral non-charged species (for example O, H, and OH). In the case in which inductive source
112
takes the form of a coil attached to dielectric window
106
, primary dissociation zone
116
takes the form of a generally donut shaped region located within chamber
102
directly below the coils of inductive source
112
.
Still referring to
FIG. 1
, plasma processing module
100
also includes a liner
118
, such as a quartz liner, for protecting the walls of the plasma chamber from the plasma and reducing the recombination of neutral radicals like O or OH. A chuck
120
is positioned in the bottom of chamber
102
and is configured to support a semiconductor substrate
122
. As is known in the art, chuck
120
may be heated to improve the efficiency of the process. Plasma processing module
100
also includes a quartz baffle
124
located above substrate
122
. Baffle
124
includes a plurality of openings
126
formed through baffle
124
which cause any gases flowing through chamber
102
to be redistributed so that the gases flow more evenly over substrate
122
than would be the case if baffle
124
were not included in module
100
.
Although baffle
124
partially shields substrate
122
from direct exposure to the plasma, portions of substrate
122
remain directly exposed to the plasma. This direct exposure to the substrate to the plasma may cause different types of the plasma-induced damage. For example, in semiconductor substrates having small feature sizes such as 0.25 &mgr;m devices, charge damage can occur when electrically charged species from the plasma accumulate non-uniformly on device gates and interconnections. This charge accumulation can lead to large voltage potentials across individual gates or between devices that can cause gate degradation or loss of gate integrity. Device damage has been found to correlate with the charge species dose that the device is exposed to during the process. Therefore, exposing the device directly to charged species produced within the plasma at high concentration (e.g., >10
11
/cm
3
) for even a short duration of time (e.g., seconds) or moderate concentration (e.g., 10
9
/cm
3
to 10
10
/cm
3
) for a longer duration (e.g., tens of seconds) can cause significant problems for this type of device. In another example, device damage has been attributed to direct UV radiation exposure from the plasma. In the conventional configuration of an inductively coupled plasma processing module, such as module
100
described above, portions of substrate
122
are directly exposed to UV radiation from the plasma.
Another problem associated with conventional inductively coupled plasma processing modules such as module
100
is that they often provide relatively poor dissociation of the feed gases. In some cases, much of the RF energy is input into ionization at the expense of dissociation of the feed gas. This poor dissociation decreases the efficiency of and therefore increases the time necessary for processing, further contributing to the above described problem of charge damage to devices on the substrate. This poor dissociation is at least in part due to the fact that the feed gases
109
are not forced to flow directly through the primary dissociation zones
116
. As mentioned above, primary dissociation zones
116
are the regions within chamber
102
in which the plasma most efficiently dissociates the feed gases.
The present invention provides improved designs for inductively coupled plasma processing modules and methods of using the novel modules to process semiconductor substrates. These designs provide an isolated plasma containment chamber within the module. This isolated plasma containment chamber prevents the semiconductor substrate from being directly exposed to line-of-sight UV radiation produced by the plasma and substantially reduces the concentration of charged species that the semiconductor substrate is exposed to compared to prior art inductively coupled plasma processing modules. Also, the plasma processing modules of the present invention provide a module that improves the dissociation of the feed gases compared to prior art inductively coupled plasma processing modules. This is accomplished by specifically controlling the flow of gases through the module.
SUMMARY OF THE INVENTION
As will be described in more detail hereinafter, a plasma processing module and methods of using the plasma processing module to process a substrate are herein disclosed. The plasma processing module of the present invention includes a plasma containment chamber having a feed gas inlet port capable of allowing a feed gas to enter the plasma containment chamber of the plasma processing module during the processing of the substrate. An inductively coupled source is used to energize the feed gas and for striking a plasma within the plasma containment chamber. The specific configuration of the inductively coupled source causes the plasma to be formed such that the plasma includes a primary dissociation zone within the plasma containment chamber. A secondary chamber is separated from the plasma containment chamber by a plasma containment plate or shield. The secondary chamber includes a chuck and an exhaust port. The chuck is configured to support the substrate during the processing of the substrate and the exhaust port is connected to the secondary chamber such that the exhaust port allows gases to be removed from the secondary chamber during the processing of the substrate. A chamber interconnecting port interconnects the plasma containment chamber and the secondary chamber. The chamber interconnecting port allows gases from the plasma containment chamber to flow into the secondary chamber during the processing of th

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Inductively coupled plasma downstream strip module does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Inductively coupled plasma downstream strip module, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Inductively coupled plasma downstream strip module will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2502844

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.