Designing scan chains with specific parameter sensitivities...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

10710642

ABSTRACT:
A method is disclosed for designing scan chains in an integrated circuit chip with specific parameter sensitivities to identify fabrication process defects causing test fails and chip yield loss. The composition of scan paths in the integrated circuit chip is biased to allow them to also function as on-product process monitors. The method adds grouping constraints that bias scan chains to have common latch cell usage where possible, and also biases cell routing to constrain scan chain routing to given restricted metal layers for interconnects. The method assembles a list of latch design parameters which are sensitive to process variation or integrity, and formulates a plan for scan chain design which determines the number and the length of scan chains. A model is formulated of scan chain design based upon current state of yield and process integrity, wherein certain latch designs having dominant sensitivities are chosen for specific ones of the scan chains on the chip. The model is provided as input parameters to a global placement and wiring program used to lay out the scan chains. Test data on the chip is then analyzed to determine and isolate systematic yield problems denoted by attributes of a statistically significant failing population of a specific type of scan chain.

REFERENCES:
patent: 4698830 (1987-10-01), Barzilai et al.
patent: 5502731 (1996-03-01), Meltzer
patent: 5544173 (1996-08-01), Meltzer
patent: 5745405 (1998-04-01), Chen et al.
patent: 5796751 (1998-08-01), Kundu
patent: 5828579 (1998-10-01), Beausang
patent: 6106568 (2000-08-01), Beausang et al.
patent: 6185707 (2001-02-01), Smith et al.
patent: 6434733 (2002-08-01), Duggirala et al.
patent: 6546514 (2003-04-01), Hayem et al.
patent: 6651197 (2003-11-01), Wildes et al.
patent: 6880136 (2005-04-01), Huisman et al.
patent: 7007214 (2006-02-01), Eustis et al.
patent: 7036099 (2006-04-01), Cheng et al.
patent: 2005/0138508 (2005-06-01), Huisman et al.
patent: 146661 (1986-12-01), None
Bazan et al., “Using Embedded Objects for Yield Modeling,” ASMC May 4-6, 2004, IEEE, pp. 124-128.
Marinissen et al., “Creating Value Through Test,” Design, Automation and Test in Europe Conference and Exhibition, IEEE 2003, pp. 402-407.
Zorian, “Optimizing Manufacturability by Design for Yield,” Jul. 14-16, 2004 IEEE/SEMI Int'l Electronics Manufacturing Technology Symposium, 4 pages.
At-Speed Test Is Not Necessarlily An AC Test, by Jacob Savir and Robert Berry, Int'l Test Conf. 1991.
IBM Technical Disclosure Bulletin 8-86 p. 1234-1236, Measuring Process-Induced AC Chip Performance Variations Using SRLs.
IBM Technical Disclosure Bulletin 3-88 p. 288, Process Monitoring For LSSD Designs.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Designing scan chains with specific parameter sensitivities... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Designing scan chains with specific parameter sensitivities..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Designing scan chains with specific parameter sensitivities... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3805854

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.