Plasma heating of a substrate with subsequent high...

Etching a substrate: processes – Heating or baking of substrate prior to etching to change...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C216S067000, C216S075000, C438S706000, C438S715000, C438S720000

Reexamination Certificate

active

06709609

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention pertains to a method of plasma heating a substrate and then etching the substrate, where deposits generated during plasma heating are removed so that control over a critical dimension of an etched feature is maintained.
2. Brief Description of the Background Art
Maintaining a substrate at a particular temperature during semiconductor processing frequently enables control of the critical dimension of a feature on the substrate. Processing may involve chemical vapor deposition (CVD), physical vapor deposition (PVD), or plasma etching, for example.
In a plasma etching process, a substrate is typically placed on an electrostatic chuck in an etch reactor in which the substrate is exposed to an etchant plasma. The temperature of the substrate may be controlled using a gas in contact with the backside of the substrate. When the gas in contact with the substrate is at a lower temperature than the substrate, so that it acts as a coolant, the substrate may be allowed to heat by a reduction of the pressure of the coolant gas. Specifically, when the pressure of the gas in contact with the backside of the substrate is reduced, the rate of heat removal is decreased, and thus the temperature of the substrate is increased.
Other methods for heating a substrate to a desired temperature include use of a resistive heating element embedded in the electrostatic chuck. The heated chuck may be used in combination with a coolant gas to adjust the substrate temperature.
One method for heating a substrate surface involves the use of ion bombardment of the substrate surface during semiconductor processing. RF coupled or microwave energy may be used to produce a plasma which is the source of ions which come in contact with the substrate. A biasing power may be applied to the substrate to attract ions toward the substrate, to provide a more rapid heating of the substrate. However, use of a substrate bias to attract ions toward the substrate generally causes sputtering of more malleable materials on the substrate surface, such as metals. Thus, when a metal layer is being etched, for example, a portion of the metal layer is typically sputtered up onto the sidewalls of an overlying patterned mask which is used to provide patterned etching of the metal layer. Subsequent removal of the sputtered material has proven difficult. In an attempt to reduce or avoid sputtering of surface materials during plasma heating of a substrate surface, various gases have been used as the plasma source gas, to lessen the sputtering effect. Specifically, it has been recommended that the gas used to form the plasma be composed of one or more gases including, for example, oxygen, argon, silane, silicon tetrafluoride, helium, neon, krypton, xenon, nitrogen, or mixtures thereof. Nitrogen gas is said to produce less satisfactory results, with the material being heated possibly forming nitrides, similar to the manner in which oxides are produced when an oxygen plasma is used.
In some instances, it has been recommended that no substrate biasing be used, and that a lower heating rate be accepted. The use of a substrate heating plasma generated using only microwave energy is said to reduce the amount of sputtering of a substrate surface. For instance, when an RF bias is applied to a substrate having a SiO
2
surface, the sputter rate of the SiO
2
is said to be on the order of 1000 Å per minute, but when no substrate bias is applied, and the only energy applied is microwave energy used to produce the plasma, the sputter rate is said to be reduced well over 50%.
Substrate temperature control is an important factor in the control of critical dimensions of a feature during plasma etching of the feature. For instance, during etch processing, the materials from a portion of the layer that is etched, as well as compounds formed by a combination of the etchant gases and the layer materials, may coat the sides of the patterned mask overlying the feature which is being etched, or may coat the sides of the feature being etched and thereby reduce the size of the opening through which etching occurs. This may result in an increase in the size of the feature produced during etching and may result in an etch profile which is different from the top to the bottom of the etched feature. Such growth of a feature dimension and variation in feature sidewall profile may be critical and may detrimentally affect the functionality of the features. By increasing the temperature of the substrate during processing, etch byproducts remain more volatile, and control over etch sidewall profile, as well as growth of critical feature dimensions, may be achieved.
High substrate temperature etching is advantageous when the materials being etched are either metal or metal-containing compounds which are of low volatility, such as, for example, platinum, copper, iridium, iridium dioxide, lead zirconium titanate, ruthenium, ruthenium dioxide, barium strontium titanate, and bismuth strontium tantalate.
In summary, although it is possible to reduce sputtering during plasma heating of substrates by reducing substrate biasing, this substantially slows the heating process. The use of resistance heaters in the electrostatic chuck under the substrate is expensive and decreases the response time when it is desired to stop heating or to cool the substrate. Accordingly, there remains a need for a substrate heating method that provides rapid substrate heating while reducing the effect of material sputtered during the heating process on the critical dimension and sidewall profile of an etched feature.
SUMMARY OF THE INVENTION
We have discovered a method of reducing the effect of material sputtered/etched during the heating of a substrate.
One embodiment of the method pertains to preheating a substrate which includes a metal-containing layer which is to be pattern etched subsequent to preheating. The method includes exposing the substrate to a preheating plasma which produces a deposit or residue during preheating which is more easily etched than said metal-containing layer during the subsequent plasma etching of said metal-containing layer.
In another embodiment, plasma heating of a substrate and subsequent etching of a metal-containing layer included in said substrate is carried out while maintaining control over a critical dimension of a feature etched in the metal-containing layer. In particular, the method includes:
a) supplying a first plasma source gas to a process chamber containing a substrate, wherein the first plasma source gas is used to generate a plasma which is used to preheat the said substrate, and wherein the plasma source gas contains at least one gas which is slightly reactive with the metal-containing layer;
b) preheating the substrate to a temperature of at least 150° C. using ion bombardment from the first plasma;
c) supplying a second plasma source gas which generates a plasma used to etch the metal-containing layer; and
d) etching the metal-containing layer, wherein essentially all of a residue generated during the preheating of the substrate is removed during the etching of the metal-containing layer.


REFERENCES:
patent: 4213818 (1980-07-01), Lemons et al.
patent: 5186718 (1993-02-01), Tepman et al.
patent: 5254217 (1993-10-01), Maniar et al.
patent: 5789320 (1998-08-01), Andricacos et al.
patent: 6030666 (2000-02-01), Lam et al.
patent: 6046116 (2000-04-01), DeOrnellas et al.
patent: 6087265 (2000-07-01), Hwang
patent: 6094334 (2000-07-01), Bedi et al.
patent: 6261967 (2001-07-01), Athavale et al.
patent: 6323132 (2001-11-01), Hwang et al.
patent: 6350699 (2002-02-01), Maa et al.
patent: 6547978 (2003-04-01), Ye et al.
patent: 2001/0053610 (2001-12-01), Athavale et al.
patent: 2002/0117471 (2002-08-01), Hwang et al.
patent: 142966 (1980-07-01), None
patent: 285224 (1990-12-01), None
patent: 53109475 (1978-09-01), None
patent: 1088345 (1998-04-01), None
Chow, T.P. and A. J. Steckl*. “Plasma Etching of Refractory Gates for VLSI Applications.”J. Electrochem. Soc.(vol. 131, No. 10).

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Plasma heating of a substrate with subsequent high... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Plasma heating of a substrate with subsequent high..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Plasma heating of a substrate with subsequent high... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3253715

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.