Method of determining etch endpoint using principal...

Etching a substrate: processes – Gas phase etching of substrate – With measuring – testing – or inspecting

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C216S060000, C438S007000, C438S008000, C438S009000, C438S010000, C438S011000, C438S016000, C438S017000, C438S018000

Reexamination Certificate

active

06582618

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates generally to semiconductor fabrication technology, and, more particularly, to monitoring etching processes during semiconductor fabrication using optical emission spectroscopy.
2. Description of the Related Art
Typically, during semiconductor processing, an etching process, such as a reactive ion etch (RIE) process, is employed for etching fine line patterns in a silicon wafer. RIE involves positioning a masked wafer in a chamber that contains a plasma. The plasma contains etchant gases that are dissociated in a radio frequency (RF) field so that reactive ions contained in the etchant gases are vertically accelerated toward the wafer surface. The accelerated reactive ions combine chemically with unmasked material on the wafer surface. As a result, volatile etch products are produced. During such etching, single or multiple layers of material or films may be removed. Such material includes, for example, silicon dioxide (SiO
2
), polysilicon (poly), and silicon nitride (Si
3
N
4
). Endpoint determination or detection refers to control of an etch step and is useful in etching processes in general, and in RIE processes in particular.
As a layer of unmasked material is etched, the volatile etch products are incorporated into the plasma. As the RIE process approaches the interface or end of the layer being etched, the amount of volatile etch product found in the plasma decreases since the amount of unmasked material being etched is reduced due to the etching. The amount of volatile etch product in the plasma may be tracked to determine the endpoint of the RIE process. In other words, the depletion or reduction in the amount of volatile etch product in the plasma during the RIE process typically can be used as an indicator for the end of the etching process.
It is also possible to track a reactive species such as one of the etchant or input gases used to etch a layer of material. As the layer is etched, the reactive species will be depleted and relatively low concentrations of the reactive species will be found in the plasma. However, as more and more of the layer is consumed, the reactive species will be found in the plasma in increasingly higher concentrations. A time trace of the optical emissions from such a reactive species will show an increase in intensity as the layer is etched away. Tracking the intensity of a wavelength for a particular species using optical emission spectroscopy (OES) may also be used for endpoint determination or control of an etch process such as an RIE process.
Conventionally, OES has been used to track the amount of either volatile etch products or reactive species as a function of film thickness. These techniques examine emissions from either the volatile etch products or reactive species in the plasma. As the film interface is reached during etching, the emission species related to the etch of the film will either decrease, in the case of volatile etch products, or increase, in the case of reactive species.
More specifically, during an RIE process, plasma discharge materials, such as etchant, neutral, and reactive ions in the plasma, are continuously excited by electrons and collisions, giving off emissions ranging from ultraviolet to infrared radiation. An optical emission spectrometer diffracts this light into its component wavelengths. Since each species emits light at a wavelength characteristic only of that species, it is possible to associate a certain wavelength with a particular species, and to use this information to detect an etch endpoint.
As an example, in etching SiO
2
with CHF
3
, carbon combines with oxygen from the wafer to form carbon monoxide (CO) as an etch product. It is known that CO emits light at a wavelength of 451 nm, and that this wavelength can be monitored for detecting the endpoint for such an etch. When the oxide is completely etched there is no longer a source of oxygen and the CO peak at 451 nm decreases, thus signaling an etch endpoint.
In the above example, it is known that light emitted from CO at a wavelength of 451 nm would be used for etch endpoint determination or detection. However, such specific wavelength information is generally unavailable, and it has been a formidable task to determine or select an appropriate wavelength to use for accurate etch endpoint determination or control. This difficulty exists because of the numerous possibilities for emissions. In other words, any molecule may emit light at a multitude of different wavelengths due to the many transition states available for de-excitation. Therefore, given the process, the gases utilized, and the material being etched, it is typically not readily known which wavelength in the spectrum to monitor for etch endpoint determination or control. In this regard, the OES spectrum for a typical RIE etch, for example, may be composed of hundreds, or even thousands, of wavelengths in the visible and ultraviolet bands.
Additionally, there is a trend towards using high-density plasma sources to replace RIE. One example is in the use of a high-density, inductively-coupled plasma (ICP). Another example is in the use of electron cyclotron resonance (ECR), which differs from RIE in plasma formation. Generally, ECR operates at a lower pressure than a conventional RIE system, and is, therefore, able to etch finer line trenches anisotropically. Comparison studies of the emissions from high-density ICP, ECR and RIE plasmas show emphasis on different species and different wavelengths for similar input gas compositions. The excitation mechanisms and interactions of the particles at higher densities and/or lower pressures are believed to account for many of these differences. Consequently, the experience and knowledge accumulated from RIE emissions may not carry over to high-density ICP emissions and ECR emissions. In other words, it may not be possible to monitor the same species or wavelengths for etch endpoint determination or detection in high-density ICP or ECR as were monitored for RIE, even if similar materials are being etched using similar input gas compositions.
Conventional techniques for determining an endpoint in an etching process using OES spectra are described, for example, in U.S. Pat. No. 5,288,367, to Angell et al., entitled “End-point Detection,” and in U.S. Pat. No. 5,658,423, to Angell et al., entitled “Monitoring and Controlling Plasma Processes via Optical Emission Using Principal Component Analysis.” These conventional techniques typically still entail singling out one wavelength to be used for signaling an etch endpoint, however. A conventional technique for effecting process control by statistical analysis of the optical spectrum of a product produced in a chemical process is described, for example, in U.S. Pat. No. 5,862,060, to Murray, Jr., entitled “Maintenance of process control by statistical analysis of product optical spectrum” (the '060 patent). The '060 patent describes measuring the optical spectrum of each member of a calibration sample set of selected products, determining by Principal Component Analysis (PCA) (or Partial Least Squares, PLS) not more than four Principal Components to be used in the calibration sample set, determining the differences in Scores of the Principal Components between a standard “target” product and a test product, and using the differences to control at least one process variable so as to minimize the differences.
However, one drawback associated with conventional techniques for determining an endpoint in an etching process using PCA applied to OES spectra is the uncertainty of how many Principal Components to use in the PCA analysis. This general question in conventional PCA applications is described, for example, in
A User's Guide to Principal Components,
by J. Edward Jackson (Wiley Series in Probability and Mathematical Statistics, New York, 1991), particularly at pages 41-58. Typically, the more Principal Components that are used, the better the PCA approximates the system being analyzed, but the longer it t

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of determining etch endpoint using principal... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of determining etch endpoint using principal..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of determining etch endpoint using principal... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3124126

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.