Method of etching carbon-containing silicon oxide films

Etching a substrate: processes – Gas phase etching of substrate – Application of energy to the gaseous etchant or to the...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C216S072000, C216S079000, C216S080000, C438S714000, C438S723000, C438S738000, C438S743000

Reexamination Certificate

active

06607675

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention pertains to etching of carbon-containing silicon oxide films.
2. Brief Description of the Background Art
Low k dielectric materials are the trend for the next generation of dielectric materials used in semiconductor manufacture. The term “low k dielectric” typically refers to any material having a dielectric constant (k) value lower than that of silicon dioxide (k≈4.0). There are many different kinds of low k dielectric materials, including organic polymer-based materials, as well as silicon oxide-based materials which contain additives such as fluorine, carbon, and hydrogen.
Various methods for producing carbon-containing silicon oxide films are known in the art. U.S. application Ser. No. 09/021,788, of Yau et al., and German Patent No. DE 19654737, of Itoh et al., for example, disclose methods for producing such films. In particular, the '788 application discloses a method for depositing a low dielectric constant film by reacting an organosilane compound (such as methyl silane, CH
3
SiH
3
) with an oxidizing gas (such as N
2
O or O
2
). During deposition of the film, methyl (CH
3
) groups bond to the silicon oxide structure. The presence of carbon in the film causes a reduction in the dielectric constant of the film.
Plasma etching of silicon oxide films is typically performed using a source gas containing a C
x
F
y
gas, such as CF
4
or C
2
F
6
. The carbon and fluorine in the source gas are typically sufficient to provide an acceptable etch rate for the silicon oxide. However, for carbon-containing silicon oxide films, etching cannot proceed very far when C
x
F
y
is used as the sole etchant gas. The carbon in the film, together with the carbon and fluorine in the plasma source gas, combine to produce undesirable long-chain carbon-fluorine polymers which deposit over surfaces of the semiconductor structure being etched, hampering the etching process. The long-chain carbon-fluorine polymers also contaminate surfaces within the process chamber.
Prior art solutions to this problem have included adding a source of oxygen to the C
x
F
y
gas. The oxygen reacts with the carbon in the carbon-containing silicon oxide film, preventing undue polymer deposition and increasing the etch rate of the film. However, oxygen also attacks the overlying photoresist layer, which is typically used as a patterning mask for underlying feature (such as a contact via) etching. Therefore, although the presence of oxygen improves the etch rate of the carbon-containing silicon oxide film, the selectivity for etching the silicon oxide film in preference to an overlying photoresist layer reduced.
It would be desirable to provide an effective method for etching carbon-containing silicon oxide films that would provide both an acceptable etch rate and acceptable selectivity for etching the silicon oxide film relative to an overlying photoresist layer.
SUMMARY OF THE INVENTION
We have discovered a method for plasma etching a carbon-containing silicon oxide film which comprises exposing the film to a plasma generated from a source gas comprising NH
3
and C
x
F
y
. The carbon-containing silicon oxide film generally comprises less than about 20 atomic percent carbon; typically the carbon content ranges from about 8 to about 20 atomic percent carbon; more typically, the carbon content ranges from about 8 to about 13 atomic percent carbon. The carbon-containing silicon oxide film frequently contains hydrogen. When hydrogen is present, the hydrogen concentration is generally less than about 45 atomic percent of the overall film composition. Typically the hydrogen concentration ranges from about 30 to about 45 atomic percent; more typically, the hydrogen content ranges from about 30 to about 40 atomic percent of the carbon-containing silicon oxide film.
Active fluorine species in the etchant plasma react with silicon in the carbon-containing silicon oxide film (substrate). Oxygen species generated from the carbon-containing silicon oxide film and hydrogen species from the plasma react with carbon. The method of the invention provides excellent etch rates, as well as superior etch selectivity for the carbon-containing silicon oxide layer in preference to an overlying photoresist masking material. It is our opinion, but not by way of limitation, that etch selectivity is improved because a layer of polymer (generated from the C
x
F
y
in the plasma source gas) is deposited upon the upper surface of the photoresist masking layer during the etching process. This layer of deposited polymer protects the photoresist from being consumed during the silicon oxide etching process. Simultaneously, the ammonia (NH
3
) gas portion of the plasma source gas functions to “clean up” deposited polymer on the photoresist surface, on the etched surface, and on the process chamber surfaces. It is necessary to achieve the proper balance between the C
x
F
y
and NH
3
in the plasma source gas in order provide a balance between by-product polymer deposition and removal on various surfaces of the substrate being etched. Oxygen may be added to the plasma source gas to increase the etch rate of the carbon-containing silicon oxide, but this reduces the selectivity in favor of etching of the carbon-containing silicon oxide relative to the photoresist.
Carbon and nitrogen are typically present in the source gas in an atomic ratio within a range of about 1:0.3 to about 1:3 of carbon:nitrogen; preferably, within a range of about 1:0.7 to about 1:2.2 of carbon:nitrogen; and more preferably, within a range of about 1:1 to about 1:1.8.
The method of the invention comprises exposing the carbon-containing silicon oxide film to a source gas comprising NH
3
and C
x
F
y
, where x ranges from about 1 to about 6 and y ranges from about 4 to about 8. Typically, x=2 to 4 and y=4 to 8. In particular, we have found that C
2
F
6
, C
4
F
6
, C
4
F
8
, and C
5
F
8
provide excellent etch rates and etch select
Although less preferred, the plasma source gas may further include a non-reactive, diluent gas selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof.
The method of the invention for etching carbon-containing silicon oxide films has provided etch rates of at least 2.2 &mgr;m per minute and etch selectivity relative to an overlying photoresist layer of up to about 25:1. This combination of rapid etch rate of the carbon-containing silicon oxide with high etch selectivity relative to the photoresist masking layer was unexpected. Also important is the clean process chamber surface after completion of etch.


REFERENCES:
patent: 4427516 (1984-01-01), Levinstein et al.
patent: 4857140 (1989-08-01), Loewenstein
patent: 5242538 (1993-09-01), Hamrah et al.
patent: 5814563 (1998-09-01), Ding et al.
patent: 6114259 (2000-09-01), Sukharev et al.
patent: 6165891 (2000-12-01), Chooi et al.
patent: 6251770 (2001-06-01), Uglow et al.
patent: 6340435 (2002-01-01), Bjorkman et al.
patent: 6346490 (2002-02-01), Catabay et al.
patent: 6350670 (2002-02-01), Andideh et al.
patent: 6368979 (2002-04-01), Wang et al.
patent: 2002/0001952 (2001-08-01), Chooi et al.
patent: 19654737 (1997-07-01), None
patent: 0553961 (1993-08-01), None
patent: 02280355 (1990-11-01), None
patent: 09260350 (1997-10-01), None
patent: 09260350 (1997-10-01), None
patent: 2001210627 (2001-08-01), None
patent: WO 00/39846 (2000-07-01), None
Copy of Search Report in corresponding PCT Application No. PCT/US01/26314, mailed Apr. 16, 2002.
Min Park et al., “High Quality Conformal Silicon Oxide Films Prepared by Multi-Step Sputtering PECVD and Chemical Mechanical Polishing,” Journal of Electronic Materials, Nov. 1998, 1262-1267, vol. 27(11), TMS & IEEE.
Wai Fan Yau et al., “Method of Depositing a Low K Dielectric with Organo Silane,” Patent Application with U.S. Patent & Trademark Office filed Feb. 11, 1998, Ser. No. 09/021,786. (Attorney Docket: AM-2592).

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of etching carbon-containing silicon oxide films does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of etching carbon-containing silicon oxide films, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of etching carbon-containing silicon oxide films will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3109801

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.