Method of physical planning voltage islands for ASICs and...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C716S030000

Reexamination Certificate

active

10853370

ABSTRACT:
Voltage islands enable a core-level power optimization of ASIC/SoC designs by utilizing a unique supply voltage for each cluster of the design. Creating voltage islands in a chip design for optimizing the overall power consumption consists of generating voltage island partitions, assigning voltage levels and floorplanning. The generation of voltage island partitions and the voltage level assignment are performed simultaneously in a floorplanning context due to the physical constraints involved. This leads to a floorplanning formulation that differs from the conventional floorplanning for ASIC designs. Such a formulation of a physically aware voltage island partitioning and method for performing simultaneous voltage island partitioning, level assignment and floorplanning are described, as are the definition and the solution of floorplanning for voltage island based designs executed under area, power, timing and physical constraints. The physical planning of voltage islands includes: a) characterizing cell clusters in terms of voltages and power consumption values; b) providing a set of cell clusters that belong to a single voltage island Random Logic Macro (RLM); and c) assigning voltages for the voltage island RLMs, all within the context of generating a physically realizable floorplan for the design.

REFERENCES:
patent: 4890238 (1989-12-01), Klein et al.
patent: 6631502 (2003-10-01), Buffet et al.
patent: 6779163 (2004-08-01), Bednar et al.
patent: 6792582 (2004-09-01), Cohn et al.
patent: 7000214 (2006-02-01), Iadanza et al.
R.A. Bergamaschi et al., “SEAS: A System for Early Analysis of SoCs,” CODES+ISSS, Oct. 2003, pp. 150-155.
A.B. Kahng, “Classical Floorplanning Harmful?”, ISPD 2000, pp. 207-213.
D. Kang et al., “Multiple-Vdd Scheduling/Allocation for Partitioned Floorplan,” ICCD 2003, 7 pages.
D.E. Lackey et al., “Managing Power and Performance for System-on-Chip Designs using Voltage Islands,” 2002 IEEE, pp. 195-202.
F.N. Najm, “A Survey of Power Estimation Techniques in VLSI Circuits,” IEEE Trans. on VLSI Systems, vol. 2, No. 4, Dec. 1994, pp. 446-455.
R. Puri et al., “Pushing ASIC Performance in a Power Envelope,” DAC 2003, pp. 788-793.
X. Tang et al., “FAST-SP: A Fast Algorithm for Block Placement based on Sequence Pair,” 2001 IEEE, pp. 521-526.
H. Murata et al., “VLSI Module Placement Based on Rectangle-Packing by the Sequence-Pair,” IEEE Trans. on CAD of ICs and Systems, vol. 15, No. 12, Dec. 1996, pp. 1518-1524.
X. Tang et al., Floorplanning with Alignment and Performance Constraints, DAC 2002, pp. 848-853.
K. Usami et al., “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor,” IEEE Journal of Solid-State Circuits, vol. 33, No. 3, Mar. 1998, pp. 463-472.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of physical planning voltage islands for ASICs and... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of physical planning voltage islands for ASICs and..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of physical planning voltage islands for ASICs and... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3828243

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.