Gas pulsing for etch profile control

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S710000, C438S712000, C438S714000, C216S067000

Reexamination Certificate

active

06784108

ABSTRACT:

BACKGROUND OF THE INVENTION
1. The Field of the Invention
The present invention relates to semiconductor manufacturing in general, and more particularly to pulsing of gas flow as applied to selectively etching semiconductor structures having high aspect ratios, using a pulsed gas plasma, and the manufacture of semiconductor devices made thereby.
2. Background of the Invention
In the microelectronics industry, a “substrate” refers to one or more semiconductor layers or structures which includes active or operable portions of semiconductor devices. In the context of this document, the term “semiconductor substrate” is defined to mean any construction comprising semiconductive material, including but not limited to bulk semiconductive material, such as a semiconductive substrate, either alone or in assemblies comprising other materials thereon, and semiconductive material layers, either alone or in assemblies comprising other materials. The term “substrate” refers to any supporting structure including, but not limited to, the semiconductive substrates described above.
Miniaturization is the process of crowding an ever-increasing number of microelectronic devices into the same amount of semiconductive substrate real estate while maintaining and/or improving the quality of each microelectronic device. The pressure to fabricate ever-smaller microelectronic devices on the active surface of semiconductive substrates consequently requires the formation of smaller topographical features that define the components of the microelectronic devices. One such feature is the contact corridor, also known as the contact hole or channel (hereinafter “contact”) which typically comprises a cylindrical depression that extends through a dielectric layer to an underlying structure that is electrically conductive or electrically semiconductive.
As the miniaturization process continues into the sub-micron range, process control and capability must also be improved. Miniaturization processes should nowadays be reliable at length scales that are smaller than 0.25 &mgr;m. As an example, a contact in the sub-half micron range preferably retains a specified critical dimension (CD) during a high-aspect ratio anisotropic etch through the dielectric layer in which it is formed. The contact opening will preferably maintain its initial cylindrical cross-section during the etch process. The etch process should not extend beyond the CD into underlying structures, nor create a contact too small (e.g., by tapering) nor too big (e.g., by bowing).
A “polymer gas” is an etchant that results in polymer deposition on a substrate or feature being etched. The difficulty of controlling high selectivity etch processes that use these so-called “polymer gases” increases as the required amount of selectivity and/or aspect ratio of the contact increases. For example, high aspect ratio contact etching requires control of the profiles at the top and at the bottom of the feature, while at the same time maintaining mask and substrate selectivity. Similarly, self-aligned contact (SAC) etches require that the etch continue while the local dimension of the etch front is suddenly shrunken in the narrow space defined by one or two sidewall films that are not to be etched.
Process performance problems include etches that tend to fail for 1) “etch stop”, so called because the etch process stops abruptly; 2) excessive etch profile taper; or 3) profile widening, or “bow”.
Under conventional operating conditions, the process windows for these etch steps are small compared to the ability of the hardware to control the process variables. One such variable is flow rate. Typically, too low a process gas flow rate results in low selectivity, and too high a process gas flow rate results in a tapered profile or etch stop. These results are usually interpreted in terms of the buildup of polymer on the etch front and on the feature sidewalls.
Another problem in conventional practice is that the difference between the mixture of feed gases needed to obtain a good result is very close to the composition of feed gases that causes the etch to fail. In other words, the amount of polymer deposition is too sensitive to gas flow variations to control the etch process over the range of tools, conditions, and incoming material variations encountered under wafer fabrication conditions. It would be an advance in the art to overcome this and the foregoing problems.
SUMMARY OF THE INVENTION
The present invention provides a method to reduce or substantially eliminate the above-stated process failure mechanisms. The polymer deposition process disclosed herein is controlled in a unique manner with respect to the process of the present invention. It provides for the use of a pulsed flow of a gas, such as a polymer gas, to achieve an etch that would otherwise fail for selectivity when used in any one of a variety of etch process. For instance, the etch process can be used in a self-aligned contact etch (SAC) or other etch process that is used to form a high aspect ratio feature.
It is worth noting that the mechanism for pulsing etch gases is distinct from that of pulsing the power of the reactor. The term “gas pulsing” as used herein means alternating different gas flow conditions. Gas pulsing refers more particularly to the sequential, repetitive use of a plurality of different time varying gas flow rates in a process or in a process step. The pulsing of the particular gas takes place for a time such that the time-varying high flow and low flow conditions are exhibited in the etch chamber. In some embodiments of the present invention, gas pulsing takes place for more than two cycles. Pulsing refers to time-varying gas flow rates, with no limitation as to the amplitudes, phases and other characteristics of time-varying phenomena as applied to pulsed gas flows. Furthermore, pulsing includes varying gas flow rates between at least two flow rate values, one of which might be as small as zero. Accordingly, “pulsed flow” refers to a time-varying flow between a maximum flow rate and a minimum flow rate regulated in a way such that the flow rate preferably experiences at least two periods. The number of different process gases that are subjected to pulsed flow conditions in embodiments of the present invention is not a limiting factor. Accordingly, one or more than one gas can be subjected to pulsed flow conditions, and when more than one gas flow is pulsed, the pulsing characteristics of each gas can be different from the pulsing characteristics of any other gas. Examples of gases whose flow rate can be subjected to pulsing according to the present invention include gases such as etchant gases, gases that lead to the deposition of a protective layer, gases that modify the deposition of a protective layer, and gases that modify etching. In contrast to gas pulsing, “pulsing the power of a reactor” typically describes the repetitive cycling of a power value in an etch step between two amplitudes.
The present invention relates to time-varying gas flow rates as applied to the manufacturing of semiconductor devices in processes such as anisotropically etching processes. In one embodiment of the inventive process, an anisotropic etch is conducted through a layer of dielectric material, such as silicon dioxide. The anisotropic etch process etches through silicon dioxide and then stops on an underlying layer. In one embodiment, the present invention provides a process that is suitable for use in a high density etch tool, such as, for example, the Applied Materials IPS Centura® system, for etching silicon dioxide by a pulsed delivery of a gas mixture to control the flow rate of an etch gas mixture. When a high density etch tool is used to etch through silicon dioxide using a pulsed delivery to control the flow rate of an etch gas mixture, the etch gas mixture etches the silicon dioxide dielectric layer substantially anisotropically and stops etching on an underlying layer that is compositionally dissimilar to the silicon dioxide dielectric layer. Examples of the underlying layer includ

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Gas pulsing for etch profile control does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Gas pulsing for etch profile control, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Gas pulsing for etch profile control will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3348940

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.