Method of improving an aspect ratio while avoiding etch stop

Semiconductor device manufacturing: process – Chemical etching – Combined with coating step

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S706000, C438S725000, C438S795000

Reexamination Certificate

active

06583062

ABSTRACT:

FIELD OF THE INVENTION
This invention generally relates to plasma etching of semiconductor features and more particularly to a method for etching high aspect ratio features to achieve a higher aspect ration with more uniform etching profile while avoiding the phenomenon of etch stop.
BACKGROUND OF THE INVENTION
During the formation of semiconductor devices it is often required that the conductive layers be interconnected through holes in an insulating layer also referred to as an inter-layer dielectric (ILD) layer. Such holes are commonly referred to as contact holes, i.e., when the hole extends through an insulating layer to an active device area, or vias, i.e., when the hole extends through an insulating layer between two conductive layers. The profile of a hole is of particular importance since that it exhibits specific electrical characteristics when the contact hole or via is filled with a conductive material. Typically, the holes are high aspect ratio holes, meaning that the ratio of length to width is at least greater than about 2 and may extend up to bout 4. Such holes are typically formed by a plasma etch process where complex chemical processes result in relatively higher etching rates in one direction versus another, known as anisotropic etching. The relative anisotropicity or selectivity of the etching process will in turn determine the etching profile of an etched hole and consequently its aspect ratio. As semiconductor structures are inevitably driven to smaller sizes, successful etching of higher aspect ratio holes is becoming more difficult.
In anisotropically etching contact or via holes (openings), plasmas containing fluorocarbons or hydrofluorocarbons including oxygen and nitrogen are typically optimized in various steps in a plasma etch process to selectively etch through the various layers of materials included in a multi-layer semiconductor device. For example, it is typically required to selectively etch through a metal nitride or silicon carbide layer forming the etching stop layer prior to etching the contact or via hole through the ILD layer while minimizing the etching of an overlying patterned photoresist layer. For example, plasmas containing fluorocarbons or hydrofluorocarbons such as CF
4
and CHF
3
are used to etch through the etching stop layer and more carbon rich hydrofluorocarbons such as C
4
F
6
are used to etch through the etching stop layer and the ILD layer. Using carbon-rich hydrofluorocarbon containing plasmas provides a means of selectively etching high aspect ratio contact and via holes through oxide layers such as an inter-layer dielectric (ILD) layer while minimizing etching of the overlying hardmask or etching stop layer.
The key mechanisms responsible for achieving high aspect ratio anisotropic etching with a high etching selectivity involves the combination several factors including the deposition of nonvolatile residue, e.g., a polymeric carbon containing residue on various etching surfaces during the etching process acting to slow the relative etching rate on those surfaces In addition, oxygen originating from the etching of an oxide by direct impact of plasma ions (i.e., hole bottoms) acts to reduce polymeric residue deposition by the formation of volatile species thereby increasing an etching rate on that surface. While carbon containing residues are found to deposit on all surfaces inside an etch chamber containing fluorocarbon or hydrofluorocarbon plasmas, less accumulation is observed to occur on oxide surfaces, e.g., ILD surfaces, than on non-oxide surfaces, e.g., silicon containing surfaces such as silicon nitride, silicon carbide, and silicon oxynitride, typically used as etching stop layers overlying and ILD layer. Consequently, preferential anisotropic etching selectivities using carbon-rich hydrofluorocarbons have achieved some success in the prior art in the selectively etching of oxide containing ILD layers having an overlying etching stop layer.
If the formation of polymeric residual species proceeds a too high a rate, an “etch stop” phenomenon with respect to high aspect ratio features, such as contact holes and vias, is problematic. For example, during the etching of a contact hole or via, a nonvolatile polymeric residual layer may be formed on the sidewalls and bottom surface of the contact hole or via from carbon containing neutral species resulting from the etch process. The carbon for forming the polymeric residue originates from hydrofluorocarbons used in the etching process, for example, carbon-rich hydrofluorocarbons frequently used to etch oxide ILD layers to gain anisotropicity and selectivity of etching the oxide versus, for example, an overlying etching stop layer. Deposition of the polymeric residue and etching of the oxide layer occur simultaneously. When high aspect ratio features are etched, the etch rate and etch chemistry vary with the aspect ratio and etching depth of the feature. Often the etching process begins normally until the etched hole reaches a particular depth or aspect ratio at which point the etching process undesirably stops due to excess deposition of polymeric residue within the etched feature, i.e., “etch stop” phenomenon.
Therefore, a major problem in etching high aspect ratio contact holes and vias in oxides is that the etching chemistry includes a high rate of polymeric residue formation in high aspect ratio holes frequently resulting in premature etch stop. These effects are most severe in contact hole and via etch processes because of the need to use a chemistry in which the etching of the oxide and the deposition of a polymeric residual material are taking place simultaneously. Because of the polymer deposition, the etch process may stop spontaneously well before the desired oxide is etched to a desired depth, i.e., etch stop.
As vias and contact hole sizes get increasingly smaller in diameter with about the same etching hole depth requirement, the aspect ratio increases and therefore the required anisotropicity of the etching process increases. However, a major problem in smaller contact holes is the increased probability of etch stop as the rate of formation of polymeric residues at hole bottoms becomes higher than the rate of removal. As such, the etching rate is slower and the probability of etch stop is increased. Attempts to overcome this problem have including efforts to use a cleaner etching chemistries resulting in less deposition of polymeric residue, such as using higher oxygen concentrations, have proven unsuccessful in that such chemistry leads to undesirably high etching stop layer and photoresist etching rates forming a tapered opening at the etch surface. As a result, the plasma etching chemistries of the prior art are on the one hand limited by the necessity of using carbon-rich plasmas to achieve anisotropicity and selectivity while simultaneously increasing the probability of etch stop as etching hole aspect ratios increase. On the other hand, approaches including increasing oxygen concentration to limit the polymeric residue buildup reduces etching selectivities with respect to overlying layer including etching stop and photoresist layers.
In
FIG. 1A
, for example, is shown a portion of a multi-layer semiconductor device having a conductive area
12
A formed in a first insulating layer
12
B with an overlying first etching stop layer
16
A, for example a metal nitride or metal carbide. Overlying the first etching stop layer
16
A is an insulating layer (ILD layer)
18
followed by a second overlying etching stop layer
16
B. Overlying the etching stop layer
16
B in many cases is an optional metal nitride dielectric anti-reflectance coating (DARC) (not shown) for reducing light reflection in a subsequent photolithographic patterning process of the overlying photoresist layer
14
B to define, for example the via etching hole
14
A locations.
In a typical plasma etching process, the etching chemistry is typically optimized for the particular etching step, for example first etching through the metal nitride layer, for including, for example a DARC lay

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of improving an aspect ratio while avoiding etch stop does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of improving an aspect ratio while avoiding etch stop, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of improving an aspect ratio while avoiding etch stop will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3112766

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.