Semiconductor device method

Semiconductor device manufacturing: process – Making field effect device having pair of active regions... – Having insulated gate

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S301000, C438S305000

Reexamination Certificate

active

06372585

ABSTRACT:

FIELD OF THE INVENTION
This invention relates to semiconductor processing for fabricating p
+
ultra shallow junctions in p
+
source/drain (S/D) and S/D extensions.
BACKGROUND OF THE INVENTION
Scaling down the design rules of ULSI devices requires a reduction of the junction depths in order to suppress short channel effects. Failing to properly scale junction depths can result in unacceptable increase in circuit power consumption. For sub-quarter micron devices, S/D junction depths below 100 nm are required. Additionally, these junctions must have low sheet resistance for the maintenance of high currents and resultant high speeds in the devices. For devices smaller than 0.18 &mgr;m, achieving low S/D contact resistivity is a serious challenge in junction technology.
The doping requirements of the advanced ultra large scale integration (ULSI) devices are very stringent. These must be addressed by process development and innovations. Commercially viable mass production of ULSI devices requires fabrication of ultra-shallow low resistance p
+
source/drain (S/D) junctions, S/D extensions, and p-type doping of polysilicon gate contacts.
There are several approaches for forming shallow p
+

junctions. Low energy ion implantation of boron has focused on forming p
+
S/D junctions as shallow as 100 nm. This approach has not proven satisfactory because of channeling and transient enhanced diffusion (TED) of boron. Boron diffusivity in silicon is strongly enhanced in the presence of silicon interstitials which accumulate during ion implantation and cause TED of the boron. Although boron TED disappears when most of the silicon interstitials are annealed out, it is responsible for additional increase of the p
+

junction depth of 200 to 500 nm. The junction depth increase associated with TED depends mostly upon annealing strategy and is almost independent of the boron implant energy at 0.5 keV to 2 keV range. Low energy (sub-1 keV) boron implant is required for the fabrication flexibility demanded by post implant anneal conditions. BF
2
implant has been used to form p
+
S/D regions. The main advantages of BF
2
compared with boron are a reduced effective boron energy and significant amorphization of the surface silicon which suppresses boron channeling and reduces the p
+

junction depth. The presence of fluorine in the structure has been found to enhance the diffusion of boron from a polysilicon gate stack electrode through a thin (sub-10 nm) gate oxide into a channel region causing uncontrolled shifts in the MOS transistor threshold voltage.
The presence of fluorine and the peroxy linkage defect (≡—Si—O—O—Si≡) characterized by a large Si—Si separation of approximately 0.5 nm, mediates boron diffusion forming O—B—O bridging molecule in SiO
2
structures.
Another disadvantage from BF
2
implanted silicon is the generation of rather high density (~10
8
cm
−2
) of stacking faults. The density of the stacking faults has a non-linear dependence on BF
2
dosage. Perhaps, BF
2
splits into its component elements when it hits the target surface. Therefore, the energy of the molecule is shared by the elements according to their mass ratio. The simultaneous implantation of the B and F elements amount to higher dose rate implantation compared to the sequential implantation of individual elements. Therefore, the damage produced by BF
2
implant is higher than that of B implant with equivalent energy and dose.
Rapid thermal annealing (RTA) is very effective for eliminating the ion implantation induced defects while suppressing the dopant diffusion. It is a developing technology with increasing use for ultra-shallow junction formation.
Another approach is to form an elevated S/D structure by selective epitaxy growth (SEG). The epitaxial silicon can be doped as it is grown, or it can be subsequently implanted. This approach will require high temperature processing or an ion implanter built especially for low energy performance due to the variety of process integration and the dependence of implantation energy on SEG thickness.
Preamorphization with an electrically inactive species is very effective in suppressing the boron channeling tail. However, additional amounts of silicon interstitials are produced below the original amorphous/crystal interface, resulting in additional TED as compared to boron implantation into crystalline silicon. Preamorphization implants must be chosen very carefully to suppress TED or junction leakage.
The incorporation of nitrogen into the gate oxide has been shown to have significant beneficial effects, including suppressing boron TED from doped polysilicon gate electrodes to Si—SiO
2
interfaces.
Since nitrogen is a Group V element, donor activity might be expected for substitutional nitrogen in silicon. However, its low equilibrium solubility (4.5×10
15
atoms/cm
3
) and small donor activation (<1%), N-ion implantation is predominantly used to form diffusion barriers. Typical implantation doses are 1×10
13
to 1×10
18
atoms/cm
2
which give peak nitrogen concentrations of 5×10
17
to 5×10
22
atoms/cm
3
at 100 keV implantation energy. The effect of implanted nitrogen on the crystalline quality depends on implantation dosage and energy. At 100 keV implantation energy, for doses less than 2×10
15
atoms/cm
2
silicon remains crystalline for implantation near 300K. For doses larger than the above at similar implantation energy, composites of amorphous silicon and SiN are produced from which Si
3
N
4
precipitates appear upon subsequent annealing at temperatures >1300K. If doses >8×10
17
atoms/cm
2
are used, nitrogen is trapped, which can cause blistering, and can produce a continuous layer of Si
3
N
4
with subsequent high temperature anneals.
SUMMARY OF THE INVENTION
The invention provides a nitrogen doping with multiple implants at various energy levels at low doses to produce a uniformly doped nitrogen layer followed by boron doping. The invention recognizes that nitrogen implantation by a single dose can lead to nonuniform accumulation of nitrogen at the Si—SiO
2
interface and can produce a nitrogen related defect (≡Si—O—N—O—Si≡) similar to the peroxy linkage defect.
The invention has an advantage of suppressing boron transient enhanced diffusion (TED) and thus enabling ultra shallow (0.05 &mgr;m) junction formation.


REFERENCES:
patent: 4584026 (1986-04-01), Wu et al.
patent: 5585286 (1996-12-01), Aaronowitz et al.
patent: 5717238 (1998-02-01), Aronowitz et al.
patent: 5811343 (1998-09-01), Wann et al.
patent: 5875975 (1999-03-01), Karlsson et al.
patent: 5994175 (1999-11-01), Gardner et al.
patent: 6037639 (2000-03-01), Ahmad
patent: 6054386 (2000-04-01), Prabhakar
patent: 6069054 (2000-05-01), Choi

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Semiconductor device method does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Semiconductor device method, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Semiconductor device method will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2869579

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.