Thin resist with transition metal hard mask for via etch...

Radiation imagery chemistry: process – composition – or product th – Imaging affecting physical property of radiation sensitive... – Making electrical device

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C430S313000, C430S316000, C430S317000, C430S318000

Reexamination Certificate

active

06440640

ABSTRACT:

TECHNICAL FIELD
The present invention generally relates to photo-lithography, and more particularly relates to a method of forming sub-micron vias using short wavelength radiation and ultra-thin photoresists.
BACKGROUND OF THE INVENTION
In the semiconductor industry, there is a continuing trend toward higher device densities. To achieve these high densities, there has been and continues to be efforts toward scaling down the device dimensions (e.g., at submicron levels) on semiconductor wafers. In order to accomplish such high device packing density, smaller and smaller feature sizes are required. This may include the width and spacing of interconnecting lines, spacing and diameter of contact holes (vias), and the surface geometry such as comers and edges of various features.
The requirement of small features with close spacing between adjacent features requires high resolution photolithographic processes. In general, lithography refers to processes for pattern transfer between various media. It is a technique used for integrated circuit fabrication in which a silicon slice, the wafer, is coated uniformly with a radiation-sensitive film, the photoresist, and an exposing source (such as optical light, x-rays, or an electron beam) illuminates selected areas of the surface through an intervening master template, the mask, for a particular pattern. The photoresist receives a projected image of the subject pattern. Once the image is projected, it is indelibly formed in the photoresist. The projected image may be either a negative or a positive image of the subject pattern. Exposure of the photoresist through a photomask causes the image area to become either more or less soluble (depending on the coating) in a particular solvent developer. The more soluble areas are removed in the developing process to leave the pattern image in the photoresist as less soluble polymer.
Projection lithography is a powerful and essential tool for microelectronics processing. As feature sizes are driven smaller and smaller, optical systems are approaching their limits caused by the wavelengths of the optical radiation. A recognized way of reducing the feature size of circuit elements is to lithographically image the features with radiation of a shorter wavelength. “Long” or “soft” x-rays (a.k.a, extreme ultraviolet (EUV)), wavelength range of lambda=50 to 700 Angstroms (Å) are now at the forefront of research in an effort to achieve the smaller desired feature sizes.
Although EUV lithography provides substantial advantages with respect to achieving high resolution patterning, the shorter wavelength radiation is highly absorbed by the photoresist material. Consequently, the penetration depth of the radiation into the photoresist is limited. The limited penetration depth of the shorter wavelength radiation requires the use of ultra-thin photoresists so that the radiation can penetrate the entire depth of the photoresist in order to effect patterning thereof. However, the thinness of such ultra-thin photoresists results in the etch resistance thereof to be relatively low. In other words, the etch protection afforded by ultra-thin photoresists is limited which in turn limits the EUV lithographic process.
SUMMARY OF THE INVENTION
The present invention relates to a method to facilitate lithographic processes employing extreme ultra-violet (EUV) radiation and/or deep UV radiation in fabricating vias. As noted above, EUV and deep UV radiation are preferred radiation sources in lithographic processes where fine resolution is desired. The short wavelengths of these types of radiation afford for fine patterning (e.g., critical feature sizes <0.25 &mgr;m). However, these types of radiation are highly absorbed by photoresist material which consequently limits the depth of penetration by the radiation into the photoresist material.
By employing a transition metal layer to be patterned as a hard mask for use in connection with etching the vias, the present invention affords for expanding available etch chemistries useable in EUV and/or deep UV lithographic processes. In particular, these types of lithographic processes require the use of very thin photoresists as a result of the depth of penetration limitations of the short wavelength radiation. Such very thin photoresists are limited in their capacity as etch barriers due to the thickness thereof.
In the present invention, the ultra-thin photoresist is employed in patterning and etching (e.g., with a high selectivity fluorocarbon plasma) the transition metal layer thereunder to form a hard mask. A via pattern formed in the photoresist with the short wavelength radiation is transferred to the transition metal layer by a first etch step. The patterned transition metal layer is used as a hard mask for a subsequent second etch step to etch a dielectric layer so as to form contact holes therein corresponding to the via pattern. Thereafter, standard via formation processes are performed to fill the contact holes, planarize the filler material, etc. to form the via having a cross-section with a largest transverse dimension less than 0.25 &mgr;m. Thus, the present invention affords for taking advantage of the fine resolution patterning available from EUV and deep UV lithographic processes and mitigates the limitations associated therewith with respect to etch chemistry.
One specific aspect of the present invention relates to a method of forming a via structure. In the method, a dielectric layer is formed on an anti-reflective coating (ARC) covering a first metal layer. A transition metal layer is formed on the dielectric layer. An ultra-thin photoresist layer is formed on the transition metal layer, and the ultra-thin photoresist layer is patterned with short wavelength radiation to define a pattern for the via structure. The patterned ultra-thin photoresist layer is used as a mask during a first etch step to transfer the via pattern to the transition metal layer. The first etch step includes an etch chemistry that is selective to the transition metal layer over the ultra-thin photoresist layer. The transition metal layer is employed as a hard mask during a second etch step to form a contact hole corresponding to the via pattern by etching portions of the dielectric layer.
Another aspect of the present invention relates to a via structure having a largest transverse dimension below about 0.18 &mgr;m. In forming the structure, In the method, a dielectric layer is formed on an anti-reflective coating covering a first metal layer. A transition metal layer is formed on the dielectric layer. An ultra-thin photoresist layer is formed on the transition metal layer. The ultra-thin photoresist layer is patterned with short wavelength radiation to define a pattern for the via structure. The ultra-thin photoresist layer is used as a mask during a first etch step to transfer the via pattern to the transition metal layer, the first etch step including an etch chemistry that is selective to the transition metal layer over the ultra-thin photoresist layer. The transition metal layer is used as a hard mask during a second etch step to form a contact hole corresponding to the via pattern by etching portions of the dielectric layer.
Another aspect of the present invention relates to a method of forming a via structure. In the method, a dielectric layer is formed on an anti-reflective coating covering a first metal layer. A transition metal layer is formed on the dielectric layer, the transition metal layer having a thickness within the range of 50 Å-2000 Å. An ultra-thin photoresist layer is formed on the transition metal layer, the ultra-thin photoresist layer having a thickness within the range of 50 Å-2000 Å. The ultra-thin photoresist layer is patterned with short wavelength radiation to define a pattern for the via structure, the short wavelength radiation falling within the range of about 11 nm to 13 nm. The ultra-thin photoresist layer is used as a mask during a first etch step to transfer the via pattern to the transition metal layer, the firs

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Thin resist with transition metal hard mask for via etch... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Thin resist with transition metal hard mask for via etch..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Thin resist with transition metal hard mask for via etch... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2903440

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.