Process monitoring apparatus and method

Adhesive bonding and miscellaneous chemical manufacture – Differential fluid etching apparatus – With radio frequency antenna or inductive coil gas...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

36

Reexamination Certificate

active

06652710

ABSTRACT:

BACKGROUND
The invention relates to monitoring of process parameters during semiconductor processing.
Plasma enhanced processes that occur within a semiconductor wafer processing system are generally designed to run for a specific length of time and are monitored by methods such as optical emissions spectroscopy (OES). The OES equipment couples to a transparent window of a reaction chamber containing the plasma. The OES equipment monitors the optical emissions from the plasma. Typically, a single wavelength is extracted (filtered) from all of the emissia of the plasma, and the magnitude of the signal at the extracted wavelength indicates the present chemistry within the chamber. A change in this chemistry will increase or decrease the magnitude of the signal at the extracted wavelength and, as such, indicate a change in the process occurring within the chamber.
For example, a plasma in a dry etch process is typically monitored by extracting the carbon monoxide (CO) emission line at 4835 angstroms. A change in the magnitude of the carbon monoxide line is indicative of the endpoint of an oxide etch process.
Extracting a particular line, as well as suppressing the noise generated by the plasma at other wavelengths, requires substantial signal processing and careful OES equipment fabrication and calibration. Such endpoint detection requires the detection of a very small change in signal strength at a particular optical wavelength. As such, the desired signal is easily corrupted by noise. If care is not taken while monitoring the process, the endpoint may not be detected, and the wafer will be overetched. Such overetching may destroy the integrated circuits on the wafer and reduce the yield of the semiconductor wafer processing system.
Therefore, there is a need in the art for improved monitoring techniques for a semiconductor wafer processing system and especially for detecting etch endpoint as well as other process characteristics within a reaction chamber.
SUMMARY
The present invention overcomes the disadvantages associated with the prior art in monitoring semiconductor wafer processes. In one embodiment, the invention comprises an apparatus capable of processing a wafer, the apparatus comprising a chamber adapted to process the wafer, whereby one or more parameters of the process being conducted in the chamber may change during processing of the wafer. A signal analyzer is adapted to receive a plurality of input signals relating to the parameters and provide an output signal in relation to the input signals.
In another version, the apparatus comprises a signal analyzer adapted to receive a plurality of input signals that relate to the parameters, correlate the input signals to one another or to stored values, and provide an output signal.
In another version, the apparatus comprises a chamber adapted to process a wafer, whereby one or more non-optical parameters indicative of a process being conducted in the chamber may change during processing of the wafer; and a signal analyzer adapted to receive one or more input signals relating to the non-optical parameters and provide an output signal in relation to the input signals.
In yet another version, the apparatus comprises one or more sensors that determine parametric data of a process being conducted in the chamber, the parametric data being indicative of characteristics of the process; and a signal analyzer adapted to receive a plurality of input signals from the sensors, the input signals corresponding to the parametric data.
In still another version, the apparatus comprises a plurality of sensors adapted to provide parametric data indicative of characteristics of the processing system; and a signal analyzer adapted to acquire the parametric data.
In another aspect, the present invention comprises a method of processing a wafer in a processing system, the method comprising the step of acquiring parametric data indicative of characteristics of the processing system by a plurality of sensors.
In another version, the method comprises the steps of processing a wafer in a processing system, whereby one or more parameters of the process may change during processing; and receiving a plurality of input signals relating to the parameters and providing an output signal in relation to the input signals.
In another version, the method is useful for monitoring a wafer processing system having multiple parameters. The method comprises the steps of receiving a plurality of input signals that relate to the parameters; correlating the input signals to one another or to other values; and determining an output signal in relation to the correlated input signals.
In another version, the method comprises the steps of processing a wafer, whereby one or more non-optical parameters indicative of the process may change during processing; receiving one or more input signals relating to the non-optical parameters; and providing an output signal in relation to the input signals.
Specifically, the present invention analyzes multiple process parameters and statistically correlates the parameters to detect a change in process characteristics such that the endpoint of an etch process may be accurately detected, as well as detecting other process characteristics within a chamber. The multiple parameters may include plasma optical emissia, environmental parameters such as pressure and temperature within the reaction chamber, RF power parameters such as reflected power or RF match tuning voltage, and system parameters such as particular system configurations and control voltages.
More specifically, the invention contains a statistical engine which correlates all of the relevant parameters for a particular system configuration, and when a particular number of parameters indicate a specific change in characteristics within the reaction chamber, the statistical engine produces a decision which may indicate completion of a particular wafer process. For example, the decision may indicate that the etch endpoint has been attained, may indicate that the chamber requires cleaning, or may indicate some other task needs attention. These decisions may be coupled to a system controller such that feedback is provided to the system controller to facilitate integrated control of the entire semiconductor wafer processing system.
The statistical engine is an executable software program generally executed on a general purpose computer system. However, the statistical engine could be a subroutine that is executed within the microprocessor of the system controller.
The statistical engine forms part of a data acquisition and processing routine that acquires data from various sensors within the semiconductor processing system, correlates that data across the various measured parameters, and periodically stores the correlated data. A previous wafer's correlated data is compared to the present wafer's correlated data to determine a correlation trend from wafer-to-wafer. If the correlation trend exceeds a particular threshold, a wafer-to-wafer flag is set. Depending upon the parameters that are correlated to produce the trend, such an indication may lead to the requirement that the chamber be cleaned. The correlation data for the presently-processed wafer is also compared to a decision threshold to determine whether or not, for example, the etch endpoint has been attained for the present wafer. If the endpoint has not been attained, the query is answered negatively, and the routine continues to acquire data and correlate the data on a periodic basis throughout the processing of the present wafer. At a point where the decision is made that the endpoint has been reached, the process is stopped, and then the routine queries whether another wafer should be processed. If the wafer-to-wafer flag has been set, another wafer will not be processed, and the routine will enter a new processing phase, e.g., cleaning the chamber. If a new wafer is required, the routine will move to acquire data with respect to the next wafer.
By correlating multiple parameters, the signal to noise ratio (SN

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Process monitoring apparatus and method does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Process monitoring apparatus and method, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Process monitoring apparatus and method will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3177027

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.