Plasma process for removing polymer and residues from...

Cleaning and liquid contact with solids – Processes – Including application of electrical radiant or wave energy...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C134S001100, C134S026000, C134S027000, C134S030000

Reexamination Certificate

active

06834656

ABSTRACT:

TECHNICAL FIELD
The present invention relates to plasma processing, and, more particularly, relates to plasma processes for removing polymers formed during etching and etch residues from substrates by exposing the polymers and residues to plasmas of a neutral chemistry.
BACKGROUND
For semiconductor chip manufacturing, it is necessary to thoroughly remove polymers formed during etching as well as any etch residues. Polymer formation during etching is a well-known phenomenon and in some cases, is deliberately used to passivate sidewalls of certain features during the etching process. Etch residues are byproducts deposited onto the substrate surface during the etching process and are a significant source of defects in the manufacturing process.
During sidewall passivation, some of the etchants (e.g., chlorine) and etched materials from the etched layers (e.g., materials from an interlayer dielectric layer or a metallization layer, photoresist, among others) may become absorbed into the polymer deposition formed along sidewalls or into the etch residues deposited elsewhere on the substrate. The polymer deposition along sidewalls and etch residues may thus become impregnated with inorganic and organic materials including, for example, Cl
2
, SiO
2
, Si, carbon, Ti, Al, and the like. For example, an organic photoresist mask is commonly used to protect selected areas of the substrate from etching. However, some photoresist erosion takes place in addition to the etching of the substrate in the exposed areas. Some of this eroded photoresist material may become deposited along the sidewalls of various features, for example, an interconnect line, thereby passivating the sidewalls with polymers. In general, the passivation of the sidewalls is not necessarily undesirable. Sidewall passivation has been known to assist in maintaining profile control during etching and to prevent the etchant from undercutting the etched features, among others. The polymers formed during etching may also contain fluorinated constituents. Fluorine is believed to come from, among other sources, the liner in the etching chamber.
After etching, it is typically necessary to remove the overlying photoresist mask along with any polymer deposition and etch residues formed during the etching process. Conventionally, the photoresist removal is achieved by use of plasma stripping and optional DI water rinse processes subsequent to etching. In the plasma stripping process step, oxygen and forming gas (3-5% H
2
/N
2
) in a plasma state are commonly used to remove the photoresist and as much of the polymers and residues as possible. The rinse step is used to further remove polymers and to further dilute any corrosive gases, such as chlorine, that has been absorbed into the polymer sidewalls. A problem that arises is that the absorbed inorganic and organic materials cause difficulty in removing the polymers formed on sidewalls and etch residues during the subsequent photoresist strip and rinse processes. As a result, the potential for defects that impact device yield exists.
As previously noted, in the past, stripping the photoresist mask material and the polymers and etch residues formed during etching has been achieved with oxygen-based plasma technologies. Oxygen-based plasmas are fairly aggressive and have been successfully used to effectively remove these materials. However, a problem with oxygen-based plasmas is that they are generally unsuitable for use with substrates including copper and low k dielectric materials. In the presence of oxygen-based plasmas, copper readily oxidizes which detrimentally affects processing capabilities for the fabricated circuit. Moreover, for substrates utilizing low k dielectric materials, the use of oxygen-based plasmas is too aggressive and difficult to control. Low k dielectric materials typically comprise organic polymers similar to those found in the photoresist making the etching/stripping selectivity of photoresist to low k materials close to unity. That is, the low k dielectric materials are removed at roughly the same rate as photoresist.
More recently, oxygen-free plasmas or hydrogen reducing plasmas have been developed for use with devices employing copper metal and/or low k dielectric materials for selectively removing the photoresist as well as the polymers and residues formed during etching. These plasmas are less aggressive than oxygen-containing plasmas and typically are formed from mixtures containing forming gas and/or fluorine gas. Forming gases are oxygen-free gas mixtures comprised of hydrogen gas and an inert gas. The reducing plasmas, with no reactive oxygen species, generate a large amount of reactive hydrogen species that react with the photoresist for removal. Oxygen-free and reducing plasmas are generally effective for photoresist removal but may result in unfavorable surface conditions that are not suitable for the subsequent metal fill processes. Moreover, the use of reducing plasmas do not efficiently and completely remove all of the polymers and residues formed during prior etching processes.
There accordingly remains a need in the art for a plasma process that is effective for removing all of the polymers and etch residues formed during etching without deleteriously affecting the performance characteristics of the integrated circuit.
SUMMARY OF THE INVENTION
A post etch process for removing residues and polymer formed during an etching process from a semiconductor substrate. The process includes exposing the substrate having the residues and polymer thereon to plasma having a neutral chemistry for a period of time effective to substantially remove the polymer and residue from the substrate. Preferably, the semiconductor substrate includes at least one low k dielectric layer. The low k dielectric has a dielectric constant less than 3.0 and is preferably selected from the group consisting of polyimides, benzocyclobutenes, parylenes, fluorocarbons, nanoglasses, aerogels, hydrogen silsesquioxanes, nanoporous oxides, and carbon doped silicon dioxides.
The plasma of neutral chemistry includes approximately equal amounts of atomic hydrogen species and atomic oxygen species. The plasma may further contain atomic fluorine species. The atomic hydrogen species are generated from a hydrogen-bearing compound. Hydrogen bearing compounds include a hydrogen gas, a hydrogen gas mixture, hydrocarbons, hydrofluorocarbons, water vapor and mixtures thereof. The atomic hydrogen and oxygen species react with and remove the polymers and etch residues from the substrate.
The post etch process may include exposing the substrate to a plasma stripping process effective to remove a photoresist material from the substrate prior to or after exposing the substrate having the residues and polymer thereon to plasma having a neutral chemistry for a period of time effective to substantially remove the polymer and residue from the substrate.


REFERENCES:
patent: 5057187 (1991-10-01), Shinagawa et al.
patent: 5332653 (1994-07-01), Cullen et al.
patent: 5773201 (1998-06-01), Fujimura et al.
patent: 6030901 (2000-02-01), Hopper et al.
patent: 6204192 (2001-03-01), Zhao et al.
patent: 6242350 (2001-06-01), Tao et al.
patent: 6316354 (2001-11-01), Hu
patent: 6323121 (2001-11-01), Liu et al.
patent: 6444136 (2002-09-01), Liu et al.
patent: 6503840 (2003-01-01), Catabay et al.
patent: 6521547 (2003-02-01), Chang et al.
patent: 6528426 (2003-03-01), Olsen et al.
Hawley's Condensed Chemical Dictionary, pp. 750-751, 1993.*
Handbook of Organic Solvents, CRC Press, p. 399, 1995.*
Laura Peters, Senior Editor,“Low-k Dielectrics: Will Spin-On or CVD Prevail?” www.semiconductor.net., Jun. 2000.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Plasma process for removing polymer and residues from... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Plasma process for removing polymer and residues from..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Plasma process for removing polymer and residues from... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3291839

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.