Method of producing amorphous silicon for hard mask and...

Chemistry: electrical and wave energy – Processes and products – Coating – forming or etching by sputtering

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C204S192120

Reexamination Certificate

active

06533907

ABSTRACT:

FIELD OF THE INVENTION
This invention relates generally to amorphous semiconducting materials, and, in particular, to a method of making amorphous silicon material that is dense, smooth, and uniform for use as a hard mask and to form waveguide structures.
BACKGROUND
Amorphous silicon has a variety of uses in semiconductor and optical device fabrication. Amorphous silicon is conventionally produced by low pressure chemical vapor deposition (LPCVD), using silane, SiH
4
, as a reactant gas. To produce amorphous silicon, the deposition temperature is kept below about 580° C. At temperatures of about 580° C. or above, LPCVD of silane produces polysilicon.
One potential use of amorphous silicon is as a hard mask material during an etching step in the fabrication of integrated circuit devices, optical devices or solid state lasers. Silicon-based materials have been widely used as hard masks for semiconductor fabrication. Materials such as silicon carbide, silicon nitride, and metal suicides are usually provided by CVD methods for use as hard masks. However, there are significant differences between the requirements on the hard mask for etching typical integrated circuits and the requirements for etching optical devices. In semiconductor applications, the layers being etched are typically shallow, less than several thousand angstroms in thickness, while in optical devices, the layers being etched are typically more than a micron in thickness. The deeper the structure being etched, the thicker the hard mask that is needed. Further, optical devices have much more stringent requirements for the smoothness of etched sidewalls to avoid insertion losses when, for example, an optical amplifier is coupled to an optical fiber.
In etching silicon oxide, a material widely used in optical devices, any species other than silicon is a contaminant whose presence can also compromise device performance. Amorphous silicon is attractive as a hard mask material for fabricating optical devices in that it does not contain any contaminant species. However, CVD deposited amorphous silicon shares the drawbacks of all the CVD deposited hard mask materials. CVD deposition processes are relatively slow. For example, a deposition rate for amorphous silicon of 2.5 nm/min at 550° C. has been reported (C. Y. Chang and S. M. Sze, Eds. ULSI Technology, Mc-Graw Hill, N.Y., 1996.) At such low rates, the time required to build up the thick hard mask layer needed for optical device fabrication may be unacceptably long. In addition as the hard mask layer is built up by CVD deposition, the surface roughness tends to increase. CVD deposited amorphous silicon has from several percent to as much as 8-10% atomic hydrogen which has the effect of decreasing density and increasing porosity. Thus, CVD amorphous silicon has a rough sidewall, due to its native morphology, which gets rougher as it is used as a hard mask. Surface roughness is undesirably transferred to the sidewall of the etched structure, which, as presented above, compromises optical device performance. The drawbacks affecting optical fabrication may also pose difficulties in the fabrication of integrated circuit devices as feature sizes of devices continue to shrink.
Another potential use of amorphous silicon is as a layer of high index of refraction material in a light wave guiding structure. Silicon has a very high refractive index, on the order of 3.4, giving a difference in refractive index of about 2 with respect to silicon dioxide. With such a large difference in refractive index, a Si/SiO
2
waveguide is strongly guiding providing micron sized optical devices such as splitters, resonators, and switches. A waveguide composed of silicon and SiO
2
could be formed on silicon wafers and readily integrated with microelectronic integrated circuits.
One attempt at forming an optical interconnection for silicon integrated circuits has been reported in U.S. Pat. No. 5,841,931 to Foresi et al., incorporated herein by reference. Foresi et al. disclose the use of polycrystalline silicon to form the core of a waveguide device. They report that surface roughness limits device performance and teach fabricating an optical device by polishing a polycrystalline silicon layer to reduce surface roughness. For example, Foresi et al. report transmission loss of a waveguide containing polysilicon polished by chemical mechanical polishing (CMP) of less than 35 db/cm, a loss rate which is too high to be useful commercially. In a study of Si/SiO
2
waveguides with a single crystalline silicon core on a Silicon-on-Insulator (SOI) platform, Lee et al. (Appl. Phys. Lett. 77, 1617 (2000)) report the major source of loss comes from silicon sidewall roughness and that the contribution of sidewall roughness to transmission loss increases as the width of the waveguide core is reduced. For a silicon core waveguide with a surface roughness standard deviation of 9 nm, measured for the SOI system, Lee et al. observed a transmission loss greater than 30 db/cm, in agreement with theory.
Thus, to date, surface roughness of silicon is a limiting factor in using pure silicon materials as hard mask layers or as a component of optical devices.
SUMMARY
A specialized physical vapor deposition process provides dense amorphous semiconducting material with exceptionally smooth morphology. In particular, the process provides dense, smooth amorphous silicon useful as a hard mask for etching optical and semiconductor devices and as a high refractive index material in optical devices.
According to a first aspect of the present invention, DC sputtering of a planar target of intrinsic crystalline semiconducting material in the presence of a sputtering gas under a condition of uniform target erosion is used to deposit amorphous semiconducting material on a substrate. Uniform target erosion may be obtained by providing a time-averaged uniform magnetic field by scanning a magnetron source across the target in a plane parallel to the plane of the target. The substrate is positioned opposite the target and DC power that is modulated by AC power is applied to the target creating a plasma. The DC power is supplied by a switching DC power supply including one or more silicon control rectifiers. Switching on an SCR typically provides a low frequency AC ripple superimposed on the DC current at a power level of between about 5 and 10% of the total power, resulting in substantial stored energy in the plasma in the chamber at multiples of the switching frequency. Alternatively, a separate discrete source of low frequency AC power is coupled to the DC power supply. The AC power component yields a high sputtering rate at low applied DC voltage and adds a low frequency component to the plasma which causes ions in the plasma to bombard the film being deposited resulting in densification and smoothness of the deposited film. The process provides dense, smooth amorphous silicon at high deposition rates. The top surface of deposited amorphous silicon has an average surface roughness less than 50 Å. Amorphous silicon with average surface roughness less than 10 Å has been obtained.
According to another aspect of the present invention, the DC sputtering process is used to deposit amorphous silicon for use as a hard mask material. A method of patterning a material layer includes forming a layer of amorphous silicon on a material layer according to the present DC sputtering process, forming a pattern in the layer of amorphous silicon, and etching the material layer wherein the pattern in the layer of amorphous silicon is transferred to the material layer. The low average surface roughness of the amorphous silicon hard mask is reflected in the low average surface roughness of the sidewalls of the etched material layer. Thus, amorphous silicon deposited by the present process is beneficially used to etch optical devices with stringent requirements for sidewall surface smoothness.
According to yet another aspect of the present invention, DC sputtered amorphous semiconductor materials are used as the high refractive index materia

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of producing amorphous silicon for hard mask and... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of producing amorphous silicon for hard mask and..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of producing amorphous silicon for hard mask and... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3057592

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.