Method of patterning lead zirconium titanate and barium...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S210000, C438S296000, C438S396000, C438S397000, C438S398000

Reexamination Certificate

active

06436838

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention pertains to dynamic and nonvolatile random access memory devices, infrared sensor, and electro-optical devices, for example, which are formed from ferroelectric thin films. In particular, the invention relates to a method of patterning a lead zirconium titanate ferroelectric film, as well as electrode materials which may be in contact with the ferroelectric film.
2. Brief Description of the Background Art
Ferroelectric materials belong to a class of crystals whose low symmetry engenders a spontaneous polarization along one or more crystal axes. Ferroelectric crystals are characterized by having polarization vectors that can be oriented in two diametrically opposite directions by applying an external electric field. The polarization states in a ferroelectric crystal are due to displacements of positive metallic and negative oxygen ions in different directions. Thermodynamically stable, these states can be switched from one to the other by applying an external electric field known as the coercive field E
c
. The ability of ferroelectric materials to switch their polarization direction between two stable polarized states provides the basis for the binary code-based nonvolatile ferroelectric random-access memories (NVFRAMs).
Some ferroelectric materials, for example Pb(Zr
x
Ti
1−x
)O
3
(lead zirconium titanate (PZT) are transformed from a ferroelectric (low temperature) phase to a nonferroelectric (high temperature) phase at the “Curie temperature” (about 670° K. for PZT). Other ferroelectric materials, such as Ba MgF
4
, for example, do not exhibit such a phase transition, even up to their melting points. In addition, ferroelectric materials typically exhibit polarization hysteresis.
Ferroelectric materials in addition to the two mentioned above, which are being developed for use in various devices include, but are not limited to SBT (Sr Bi
2
Ta
2
O
9
); SBTN (Sr Bi
2
(Ta
1−x
Nb
x
)
2
O
9
; and BST (Ba
x
Sr
1−x
Ti O
3
).
One ferroelectric semiconductor device of particular interest is a ferroelectric capacitor, which typically comprises a ferroelectric material sandwiched between electrodes. Examples might be a Pt/PZT/Pt capacitor or an Ir/PZT/Ir capacitor, not by way of limitation. Patterning of various semiconductor substrate layers to produce a ferroelectric device such as a capacitor is of particular interest for the next generation of personal computers, since computers based on NVFRAMS will not require backup disk memories. It is very likely such computers will have no moving parts and be smaller and far more robust than today's personal computers.
In their paper entitled “Investigation of Etch Profiles in Etching of PZT and Pt Thin Films, Chee Won Chung et al. describe the reactive ion etching of PbZr
x
Ti
1−x
O
3
(PZT) and Pt thin films using chlorine and fluorine gas chemistry in an Inductively Coupled Plasma. (Mat. Res. Soc. Symp. Proc. Vol. 493, pp. 119-129.) The etch chemistry originally used for etching the PZT film layer was based on a plasma source gas of Cl
2
/C
2
F
6
/Ar. The ratio of Cl
2
to C
2
F
6
was fixed at 9:1, and the concentration of Cl
2
+C
2
F
6
in the Cl
2
/C
2
F
6
/Ar mixture being less than 40% of the volume of gas flow, preferably 30%. The etch chemistry originally used for etching Pt films was based on a plasma source gas of Cl
2
/Ar. It was determined that a PtCl
2
etch byproduct redeposited on etched surfaces and a new etch chemistry for Pt films based on a plasma source gas of SiCl
4
/Cl
2
/Ar was recommended.
In another paper related to the etching of PZT, titled “Dry Etching of PZT Films In An ECR Plasma”, Barbara Charlet et al., Mat. Res. Soc. Symp. Proc. Vol. 310, 1993, pp. 363-368, the etching of PZT relative to silicon, silicon dioxide and platinum is described. Plasma etching using plasma etchants comprising SF
6
in combination with Cl
2
, or SF
6
in combination with HBr, each combination compared with argon as an etchant, is described in detail. Additional information pertaining to plasma etching of PZT may be found in an article entitled “Reactive ion etching of Pt/PZT/Pt ferroelectric thin film capacitors in high density DECR plasma” by H. Mace et al, Microelectronic Engineering, Vol. 29, 1995, pp. 45-48. In particular, plasma etching using CF
4
, or CF
4
/Cl
2
, or Cl
2
, or argon is described in detail. Further description of plasma etching of PZT using a Cl
2
/CCl
4
etchant mixture is described in an article entitled “Processing of PZT Piezoelectric Thin Films for Microelectromechanical Systems” by Mary Hendrickson et al., Proceedings of the Tenth IEEE International Symposium on the Applications of Ferroelectrics, Aug. 18-21, 1966, Volume II, pp. 363-368. All of the above-listed articles pertaining to the plasma etching of PZT are hereby incorporated by reference.
BST (Ba
x
Sr
1−x
Ti O
3
) is typically used in the formation of dynamic random access memories (DRAMS), where the thickness of the BST layer is a few hundred Angstroms, typically in the range of 200 Å-300 Å, for example. In this particular application, the BST is used more for its dielectric properties (relatively high dielectric constant) than for its ferroelectric properties. A description of the use of PZT and BST in the fabrication of DRAMS and ferroelectric random access memories (FRAMS) is provided in U.S. Pat. No. 5,786,259 to Chang-seok Kang, issued Jul. 28, 1998. The Kang patent recommends that the PZT or BST layer be etched using a chemical-mechanical-polishing step or an “etch back” step which is not described.
SUMMARY OF THE INVENTION
A method of plasma etching PZT or BST layers is disclosed herein. The method comprises the steps of: (a) patterning a layer of high-temperature-compatible masking material, where said masking material overlies the PZT or BST layer; and (b) transferring a pattern from the patterned masking layer through at least a portion of the PZT layer or the BST layer by plasma etching the PZT layer or the BST layer through the patterned masking layer using a plasma generated from a plasma feed gas where the principal chemical etchant source is BCl
3
, SiCl
4
, or a combination thereof. Depending on the masking material, there are some instances when it is desirable to avoid or restrict the addition of Cl
2
gas to the plasma feed gas. Preferred high-temperature-compatible masking materials, by way of example and not limitation, include TaN, TiN, WN
2
, Ti, TiO
2
, SiO
2
, or combinations thereof.
The method may be used to produce a plasma etched semiconductor structure including a ferroelectric capacitor, the capacitor comprising: an upper electrode layer, a PZT or BST dielectric layer, and a lower electrode layer, where the capacitor has a feature size of less than 0.25 &mgr;m, and a sidewall angle ranging between about 85° and 90°.


REFERENCES:
patent: 5186718 (1993-02-01), Tepman et al.
patent: 5658820 (1997-08-01), Chung
patent: 5731608 (1998-03-01), Hsu et al.
patent: 5786259 (1998-07-01), Kang
patent: 5854104 (1998-12-01), Onishi et al.
patent: 5953619 (1999-09-01), Miyazawa et al.
patent: 6100201 (2000-08-01), Maejima et al.
patent: 6211035 (2001-04-01), Moise et al.
patent: 6265318 (2001-07-01), Hwang et al.
patent: 0786805 (1997-07-01), None
patent: 0795896 (1997-09-01), None
patent: 0907203 (1999-04-01), None
patent: 0984490 (2000-03-01), None
patent: 8222238 (1998-03-01), None
patent: 9049526 (1998-09-01), None
patent: 11121696 (1999-04-01), None
patent: 11307735 (1999-11-01), None
patent: WO 0049649 (2000-08-01), None
T. An, “Effects of BCl3addition on Ar/Cl2gas in inductively coupled plasmas for lead zirconium titanate etching”,J. Vac. Sci. Technol.A, 18(4), pp. 1373-1376 (Jul./Aug. 2000).
N. Ikegami et al., “Dry-Etching Mechanism of Sputtered Pb(Zr1-xTix)O3Film”,Jpn. J. Appl. Phys., vol. 35, pp. 2505-2511 (1996).
S. Kim et al., “Etching mechanism of (Ba,Sr)TiO3films in high density Cl2/BCl3/Ar plasma”,J. Vac. Sci. Technol. A, 18(4), pp. 1381-1384 (Jul./Aug. 2000).
Copy of Search Report in

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of patterning lead zirconium titanate and barium... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of patterning lead zirconium titanate and barium..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of patterning lead zirconium titanate and barium... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2890126

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.