Method of etching tungsten or tungsten nitride in...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C216S067000, C216S075000, C438S734000, C438S742000

Reexamination Certificate

active

06579806

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention pertains to a method of etching tungsten or tungsten nitride electrode gates in semiconductor structures. In particular, one etch chemistry is used during the majority of the etching process and a second etch chemistry is used toward the end of the etching process.
2. Brief Description of the Background Art
Semiconductor devices as a whole typically include self-aligned contact structures and gate electrodes which are fabricated from multiple film layers of differing compositions. Tungsten nitride films have previously been used as barrier layers, and tungsten has been used as a conductor in various semiconductor device structures. Recently, both tungsten and tungsten nitride have been developing as gate materials, as a result of smaller device geometries.
In many instances, the tungsten or tungsten nitride film (layer) is deposited over a thin (less than about 50 Å thick) silicon oxide inorganic dielectric layer. During patterned etching of the multiple film layer structure, it is desired to plasma dry etch through the tungsten or tungsten nitride layer and to stop etching at the surface of the silicon oxide layer. This makes it important that the etch selectivity for etching of tungsten or tungsten nitride (in preference over silicon oxide) be high. (The term “selectivity” is typically used to refer to a ratio of etch rates of two materials.) Further, as the device geometries become smaller, etching of layers of material must be more precise, providing a profile which permits placement of more devices over a given surface area. In the case of a tungsten gate, for example, the gate may be in the form of a thin line or pad, and the cross-sectional profile of the etched gate feature is preferably one where the sidewalls of the etched feature are essentially perpendicular to an underlying silicon oxide substrate layer, for example. This means the tungsten must be completely etched to the surface of the silicon oxide substrate layer (no residual “feet” at the bottom of the etched tungsten sidewall). Control of the etch process is critical in providing proper etched tungsten feature profile while avoiding etching away critical thickness of the underlying silicon oxide film substrate.
One reference describes a dry etching method wherein a multilayer film including one selected from tungsten, molybdenum, and a silicide thereof, is etched as the first layer. Underlying the “first layer” is a second layer of polycrystalline silicon, which overlies a silicon oxide insulation film. The etching step for the first layer uses a plasma etchant source gas made up of a first gas selected from fluorine, sulfur hexafluoride, and nitrogen trifluoride, or a mixture gas containing the first gas and a second gas selected from hydrogen chloride, hydrogen bromide, chlorine, bromine, and carbon tetrachloride. Etching of the second layer of polycrystalline silicon is carried out using a plasma etchant source gas made up of the second gas and a third gas selected from an inert gas, nitrogen gas, oxygen gas, silicon tetrachloride gas, and carbon monoxide gas. In the second etch step, the amount of the third gas added to the second gas should preferably be in the range between 0 and 10 volume % of the total etching gas mixture.
Another reference discloses a method for fabricating a silicon-based MOS transistor having an inverse-T refractory metal gate structure. The gate fabricated comprises a main CVD tungsten portion and a lower sputtered tungsten portion outwardly extending from the bottom of the CVD portion. A Cl
2
/O
2
plasma etch is used to etch the CVD tungsten layer and a chemical etch (KH
2
PO
4
/KOH/K
3
Fe(CN)
6
) is used to etch the sputtered tungsten portion. The sputtered tungsten layer is said to act as a shield to protect the underlying gate oxide layer from ion damage throughout the fabrication process. In particular, the sputtered tungsten is said to be more resistant to Cl
2
/O
2
reactive ion etch than is CVD tungsten.
Another reference describes a method of fabricating sidewall spacers for a self-aligned contact hole. A metal, such as tungsten, is RIE etched using a conventional etchback procedure, without the use of a photoresist masking, using a Cl
2
—SF
6
—BCl
3
—Ar etchant gas mixture for plasma generation.
For further background information, the reader is directed to U.S. Pat. Nos. 5,295,923, to Hori et al.; 5,599,725, to Dorleans et al.; and 6,033,962, to Jeng et al.
SUMMARY OF THE INVENTION
The present invention relates to a method of etching tungsten or tungsten nitride in semiconductor structures, and particularly to the etching of gate electrodes which require precise control over the etching process. We have discovered a method of etching tungsten or tungsten nitride which permits precise etch profile control while providing excellent selectivity in favor of etching tungsten (or tungsten nitride) rather than a thin underlying oxide layer. Typically, the oxide is selected from silicon oxide, silicon oxynitride, tantalum pentoxide, zirconium oxide, and combinations thereof. The method appears to be applicable to tungsten or tungsten nitride, whether deposited by physical vapor deposition (PVD) or chemical vapor deposition (CVD).
In particular, an initial etch chemistry, used during the majority of the tungsten or tungsten nitride etching process (the main etch), employs the use of a plasma source gas where the chemically functional etchant species are typically generated from a combination of sulfur hexafluoride (SF
6
) and nitrogen (N
2
), or in the alternative, from a combination of nitrogen trifluoride (NF
3
), chlorine (Cl
2
), and carbon tetrafluoride (CF
4
). Toward the end of the main etching process, a second chemistry is used in which the chemically functional etchant species are generated from Cl
2
and O
2
. This final portion of the etch process may be referred to as an “overetch” process, since etching is carried out to at least the surface underlying the tungsten or tungsten nitride. However, this second etch chemistry may optionally be divided into two steps, where the plasma source gas oxygen content and plasma source power are increased in the second step.
We have discovered that an unexpectedly high etch selectivity for tungsten in preference over an underlying oxide layer (in the range of 175:1, for tungsten:silicon oxide, for example) may be obtained when a sufficiently high concentration of O
2
is used in combination with a sufficiently high plasma density. In particular, when the O
2
concentration is greater than about 20% by volume in the plasma source gas, further increases in O
2
content have a limited effect at plasma densities below about 8×10
10
e

/cm
3
, because there is insufficient power input to energize the active oxygen species. To obtain selectivity in favor of etching tungsten or tungsten nitride relative to an underlying oxide layer, it is necessary to increase both the oxygen content of the plasma source gas and the source power applied to create and maintain the plasma. For example, at a plasma density of about 1.6×10
11
e

/cm
3
, and a substrate bias voltage of about −90 V (about 90 W applied bias), an increase in plasma source gas oxygen content from about 30 volume percent to about 40 volume percent produces an increase in selectivity for etching tungsten relative to silicon oxide from about 40:1 to about 75:1. However, at 40 volume percent O
2
, if the plasma density is increased to about 1.8×10
11
e

/cm
3
, the selectivity for etching tungsten relative to silicon oxide unexpectedly increases from about 75:1 to about 160:1.
Although carrying out the “overetch” step at the conditions which produce the highest selectivity protects the underlying oxide layer, the etched tungsten or tungsten nitride feature profile may be affected by the rapid tungsten etch rates obtained (about 1800 Å per minute at the conditions which produce 175:1 tungsten:silicon oxide selectivity). To enable maintenance of feat

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of etching tungsten or tungsten nitride in... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of etching tungsten or tungsten nitride in..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of etching tungsten or tungsten nitride in... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3152093

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.