Method and apparatus for forming a dielectric film using...

Coating processes – Coating by vapor – gas – or smoke – Mixture of vapors or gases utilized

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C427S255310, C427S255380, C438S905000, C438S906000

Reexamination Certificate

active

06599574

ABSTRACT:

BACKGROUND OF THE INVENTION
The present invention relates to the deposition of dielectric layers, and more specifically to a method and apparatus for forming a dielectric layer such as a borophosphosilicate glass (BPSG) layer, having improved film uniformity, superior gap fill/reflow capability, and smoother surface morphology. In addition to resulting in a higher deposition rate, the method of the present invention forms the dielectric layer in a manner that produces substantially less downstream residue than conventional methods. The method reduces the frequency of reactor cleaning to increase throughput of processed wafers. The present invention is particularly useful when forming a dielectric layer used as a premetal dielectric (PMD) layer, but may also be applied to the formation of intermetal dielectric (IMD) layers, passivation layers, and other layers.
One of the primary steps in the fabrication of modern semiconductor devices is the formation of a thin film, for example, an oxide of silicon, on a semiconductor substrate. Silicon oxide is widely used as an insulating layer in the manufacture of semiconductor devices. A silicon oxide film can be deposited by thermal chemical vapor deposition (CVD) or plasma-enhanced chemical vapor deposition (PECVD) processes from a reaction of silane (SiH
4
), tetraethylorthosilicate (Si(OC
2
H
5
)
4
, hereinafter referred to as “TEOS”) or a similar silicon source, with an oxygen source such as O
2
, ozone (O
3
), or the like. Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film. PECVD processes promote excitation and/or disassociation of the reactant gases by the application of radio frequency energy to a reaction zone proximate the substrate surface, thereby creating a plasma of highly-reactive species to produce the desired film.
Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two-year/half-size rule (often called “Moore's Law”) which means that the number of devices that fit on a chip doubles every two years. Wafer fabrication plants today are routinely producing devices with 0.5 &mgr;m and even 0.35 &mgr;m size features. Tomorrow's plants soon will be producing devices having even smaller geometries. As device sizes become smaller and integration density increases, issues that were not previously considered important by the industry are becoming of paramount concern.
One particular use for a silicon oxide film is as a separation layer between the polysilicon gate/interconnect layer and the first metal contact layer for MOS transistor connections. Such separation layers are referred to as premetal dielectric (PMD) layers because they are typically deposited before any of the metal layers in a multilevel metal structure. In addition to having a low dielectric constant, low stress, good gettering capability, and good adhesion properties, it is important for PMD layers to have good planarization characteristics or be compatible with planarization techniques. When used as a PMD layer, the silicon oxide film is deposited over a lower level polysilicon gate/interconnect layer that usually contains raised or stepped surfaces. The initially deposited film generally conforms to the topography of the poly layer and is typically planarized before an overlying metal layer is deposited. A standard reflow process, in which the oxide film is heated to a temperature at which it flows, may be used to planarize the film. With small device dimensions, it is critical in some processes that reflow of PMD layers and other process steps be carried out below 800° C. to maintain shallow junctions and prevent the degradation of self-aligned titanium silicide contact structures or the like. As an alternative to reflow, a chemical mechanical polishing (CMP) or etching technique may be used.
Because of its low dielectric constant, low stress, good adhesion properties, good gettering capability, and capability to reflow at high temperatures, boron phosphorus silicate glass (BPSG) is one silicon oxide film that has found particular applicability in PMD layers. Standard BPSG films are formed by introducing phosphorus and boron sources into a processing chamber along with the silicon and oxygen sources normally required to form a silicon oxide layer. Examples of phosphorus sources include triethylphosphate (TEPO), triethylphosphite (TEP
i
), trimethylphosphate (TMOP), trimethylphosphite (TMP
i
), and similar compounds. Examples of boron sources include triethylborate (TEB), trimethylborate (TMB), diborane (B
2
H
6
) and similar compounds. Deposition techniques include atmospheric pressure CVD (APCVD), sub-atmospheric pressure CVD (SACVD), low pressure CVD (LPCVD), and plasma enhanced CVD (PECVD). Most semiconductor manufacturers utilize SiH
4
-based BPSG films to reflow at high temperatures (>900° C.) for 0.5 &mgr;m and greater device geometries. At geometries less than 0.5 &mgr;m, stringent thermal budget and gap fill requirements necessitate the use of chemistries such as TEOS/O
3
which provide films of excellent gap fill and reflow capability. For example, TEOS/O
3
-based BPSG films produced by APCVD or SACVD using nitrogen carrier gas can be reflowed at greater than 900° C. or subjected to a rapid thermal process and CMP to achieve gap fill and planarization. Conventional BPSG processes, especially APCVD, use nitrogen (N
2
) as the carrier gas to transport vaporized liquid sources, like the TEOS, TEB, and TEPO, into the chamber.
BPSG films at a 2-6 weight percent (wt %) boron concentration and a 2-9 wt % phosphorus concentration are often used for reflow. At these concentration levels, the reflow temperature of known BPSG films formed using nitrogen carrier gas with TEOS/O
3
is generally greater than about 850° C. With conventional BPSG processes using a nitrogen carrier gas, BPSG films can be produced which flow at temperatures below 850° C. by increasing the concentration of boron to 4.5 wt % or higher. The stability of the BPSG layer, however, is adversely affected at such increased boron concentrations.
Conventional BPSG processes using nitrogen as a carrier gas in forming silicon oxide layers often encounter problems in fabricating smaller geometry devices, such as filling closely-spaced gaps on semiconductor structures. These processes using nitrogen carrier gas result in as-deposited step coverage that is not optimal, and therefore require higher temperatures to achieve gap fill and planarization requirements. That is, conventional BPSG processes using nitrogen as the carrier gas result in reflow temperatures over 850° C., which are often too high for tighter thermal budgets.
The use of helium as the carrier gas in other processes has been mentioned previously, with some even experimenting with its use in BPSG processes. However, the industry has relied primarily on nitrogen as the carrier gas in the BPSG process, mainly because nitrogen is cheaper than helium. Also, the industry did not recognize helium carrier gas as having benefits that outweigh the advantage of nitrogen's reduced cost.
With growing pressure on manufacturers to improve efficiency, another problem is the need for frequent system maintenance procedures to clean the reactor system by removing the residue formed after the repeated processing of hundreds of wafers. During CVD processing, deposition gases released inside a processing chamber form a thin BPSG layer on the surface of a substrate being processed. Unwanted oxide deposition occurs elsewhere in the reactor, for example, on areas such as the walls of the processing chamber during such CVD processes. In closed vacuum systems, some of the undeposited gas molecules are pumped out of the chamber, along with partially reacted compounds and reaction by-products, through a vacuum line, commonly referred to as the “foreline.” Many of the compounds in this exhausted gas are st

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method and apparatus for forming a dielectric film using... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method and apparatus for forming a dielectric film using..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method and apparatus for forming a dielectric film using... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3054236

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.