Method and apparatus for enhancing resist sensitivity and...

Radiation imagery chemistry: process – composition – or product th – Imaging affecting physical property of radiation sensitive... – Forming nonplanar surface

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C430S313000

Reexamination Certificate

active

06686132

ABSTRACT:

TECHNICAL FIELD
This invention relates generally to the fields of lithography, and semiconductor fabrication. More particularly, the invention relates to a method for enhancing resist sensitivity and resolution by influencing the effects of photoacid drift/diffusion by an external alternating electric field that may optionally include a direct current offset bias. The claimed method is useful in processing lithographic photoresist compositions, particularly chemical amplification photoresist compositions including ultraviolet, electron-beam, and x-ray photoresists.
BACKGROUND
Chemically amplified resists are based on the acid-catalyzed deprotection of functional groups in a polymer matrix. During the postexposure bake (PEB) step, several chemical and physical processes take place. In positive resists, photogenerated acid (or simply “photoacid”) catalyzes the deblocking process, in which the blocked insoluble polymer is converted to a soluble polymer with hydroxyl group and a volatile component. The volatile group then generates transient free volume that augments diffusion pathways. See Croffle et al. (1999)
J. Vac. Sci. Techno.B
6(17):3339-3344 for a further discussion of the effects of transient free volume. In negative resists, photoacid catalyzes crosslinking, thereby rendering the crosslinked areas insoluble. In either type of resist the photoacid can be deactivated by neutralization and evaporation, or be trapped due to lack of diffusion pathways. In some resist systems the photoacid can also be deactivated by substrate or air contamination. The end result of unwanted photoacid deactivation and diffusion is alteration in the shape of the developed photoresist. This alteration may take the form of reduced two-dimensional sharpness in corner sections, and/or reduced verticality of resist sidewalls, and ultimately results in greatly reduced critical dimension control.
In order to prevent changes in pattern shape caused by diffusion or deactivation of the acid, the PEB is generally carried out immediately after the exposure. Additionally, radiation exposure time and PEB time and temperature are closely monitored, as overexposure and overbaking also increase the changes in pattern shape caused by diffusion. As a consequence, the prior methods provide a limited processing window.
U.S. Pat. No. 5,626,782 to Maeda discloses an apparatus for controlling the changes due to diffusion and deactivation in negative resists by delaying PEB. The delay in PEB allows the photoacid to diffuse into the unexposed areas of the resist so that when the resist undergoes PEB, the crosslinked areas produced by the diffused photoacid encroach into the unexposed areas. The encroachment of the diffused photoacid into the unexposed areas renders the encroached areas insoluble in the developer, thereby reducing the width of the unexposed areas and allowing for the formation of features having smaller dimensions than those originally patterned into the resist. The elapsed time from exposure to PEB is input into the apparatus, which then calculates the optimal PEB time and temperature required to achieve the desired degree of encroachment and desired feature width. Methods of predicting the anticipated photoacid diffusion characteristics are also disclosed in, for example, U.S. Pat. No. 5,717,612 to Capodieci. Although the Maeda et al. apparatus utilizes anticipated diffusion to control image features, the apparatus is only applicable to negative resists and does not actually influence the preferential movement of photoacid diffusion per se.
Kanda et al. (2000)
Proc
. SPIE Int. Soc. Opt. Eng. 3999:881-889, discloses a two-step process wherein a coating material is applied to a negative resist that has already been exposed and had the uncrosslinked, unexposed sections removed. Once the resist is coated with a coating material comprised of a water-soluble polymer and a crosslinker, the coated resist is subjected to a second baking step wherein a layer of the coating material is crosslinked by the diffusion of acid remaining in the resist. The acid present in the original crosslinked resist diffuses into the coating material and catalyzes crosslinking so that the water-soluble polymer is rendered water-insoluble. In this way, the dimensions of the initially unexposed areas of the resist may be reduced. Unfortunately, this method requires second coating, baking, and developing steps that greatly add to the complexity and cost of resist fabrication.
Attempts to reduce diffusion through incorporation of an additive or latent image stabilizer (LIST) have also been made. Using this method, comparatively small concentrations of alkaline materials, such as tertiary amines, are incorporated into the resist material and work via a simple neutralization reaction. More sophisticated approaches use photodestructable bases (PDB) such as triphenylsulfonium hydroxide (TPSH), which, upon exposure, is converted into water and neutral organic species that do not interfere with the acid. S. Funato et al. (1995)
J. Photopolym. Sci. Technol.
8(3):543. The effects of quenchers in chemically amplified resists is also discussed in Fukuda et al. (2000)
SPIE
12(34):4346 and Hattori et al. (2000)
J. Photopolymer Sci. and Technol.
13:477-484. Unfortunately, all of these methods require additional additives that add to the cost of resist processing and do not include treatments that influence the preferential movement or reaction of the photoacid.
For the purposes of critical dimension (CD) control, it is desired to enhance the effects of the photoacid in a particular direction, i.e., in the vertical direction, thereby limiting the relative lateral photoacid diffusion. Since the photoacid carries a positive charge, an external electric field applied to the resist film can force the photoacid to drift in a given direction. The present invention provides a method for improving resist resolution by reducing the relative effect of photoacid drift by influencing the movement of the photoacid with an external electric field that may optionally have a DC offset bias.
SUMMARY OF THE INVENTION
Accordingly, it is a primary object of the invention to address the above-described need in the art by providing a novel method for reducing the effect of photoacid diffusion in photoresists, particularly chemically amplified photoresists.
It is another object of the invention to provide a method for improving the sharpness of two-dimensional corners in chemically amplified photoresists.
It is still another object of the invention to provide a method for improving the verticality of sidewalls in chemically amplified photoresists.
It is yet another object of the invention to provide a method for improving the overexposure tolerance in chemically amplified photoresists.
It is still another object of the invention to provide a method for improving critical dimension control in chemically amplified photoresists.
Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following, or may be learned by practice of the invention.
In one embodiment, then, the present invention provides a process for generating a resist image on a substrate by providing a substrate coated with a film of a photoresist composition comprised of a resist binder and a radiation-sensitive acid generator, exposing the film selectively to a predetermined pattern of radiation, subjecting the exposed film to postexposure baking while applying an alternating electrical field to the exposed film, so as to form a latent, patterned image in the film, and developing the latent image with a developer.
Another embodiment of the invention provides an apparatus for postexposure-baking of an exposed chemical amplification resist on a substrate surface, comprising: a heat source for generating heat in a first direction; a means for applying an alternating electrical field to the exposed chemical amplification resist in a second direction; a

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method and apparatus for enhancing resist sensitivity and... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method and apparatus for enhancing resist sensitivity and..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method and apparatus for enhancing resist sensitivity and... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3296369

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.