Low power scan testing techniques and apparatus

Data processing: measuring – calibrating – or testing – Testing system – Signal generation or waveform shaping

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

07925465

ABSTRACT:
Disclosed below are representative embodiments of methods, apparatus, and systems used to reduce power consumption during integrated circuit testing. Embodiments of the disclosed technology can be used to provide a low power test scheme and can be integrated with a variety of compression hardware architectures (e.g., an embedded deterministic test (“EDT”) architecture). Among the disclosed embodiments are integrated circuits having programmable test stimuli selectors, programmable scan enable circuits, programmable clock enable circuits, programmable shift enable circuits, and/or programmable reset enable circuits. Exemplary test pattern generation methods that can be used to generate test patterns for use with any of the disclosed embodiments are also disclosed.

REFERENCES:
patent: 5592493 (1997-01-01), Crouch et al.
patent: 5907562 (1999-05-01), Wrape et al.
patent: 5991909 (1999-11-01), Rajski et al.
patent: 6070261 (2000-05-01), Tamarapalli et al.
patent: 6114892 (2000-09-01), Jin
patent: 6327687 (2001-12-01), Rajski et al.
patent: 6519729 (2003-02-01), Whetsel
patent: 6694467 (2004-02-01), Whetsel
patent: 6763488 (2004-07-01), Whetsel
patent: 6766487 (2004-07-01), Saxena et al.
patent: 6769080 (2004-07-01), Whetsel
patent: 6954888 (2005-10-01), Rajski et al.
patent: 6966021 (2005-11-01), Rajski et al.
patent: 7051255 (2006-05-01), Gschwind
patent: 7051257 (2006-05-01), Whetsel
patent: 7109747 (2006-09-01), Pasqualini
patent: 7131044 (2006-10-01), Whetsel
patent: 7155650 (2006-12-01), Whetsel
patent: 7260591 (2007-08-01), Rajski et al.
patent: 7493540 (2009-02-01), Rajski et al.
patent: 7500163 (2009-03-01), Rajski et al.
patent: 7506232 (2009-03-01), Rajski et al.
patent: 7647540 (2010-01-01), Rajski et al.
patent: 7653851 (2010-01-01), Rajski et al.
patent: 7685491 (2010-03-01), Lin et al.
patent: 2004/0128599 (2004-07-01), Rajski et al.
patent: 2005/0188218 (2005-08-01), Walmsley et al.
patent: 2005/0228630 (2005-10-01), Tseng et al.
patent: 2007/0234157 (2007-10-01), Rajski et al.
patent: 2007/0234163 (2007-10-01), Mukherjee et al.
patent: 2007/0234169 (2007-10-01), Rajski et al.
patent: 2007/0250749 (2007-10-01), Lin et al.
patent: 2008/0052578 (2008-02-01), Rajski et al.
patent: 2008/0052586 (2008-02-01), Rajski et al.
patent: 2008/0195346 (2008-08-01), Lin et al.
Bonhomme et al., “A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores,”Proc. ATS, pp. 253-258 (2001).
Bonhomme et al., “Power Driven Chaining of Flip-flops in Scan Architecture,”Proc. ITC, pp. 796-803 (2002).
Butler et al., “Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques,”Proc. ITC, pp. 355-364 (2004).
Chakravarty et al., “Two Techniques for Minimizing Power Dissipation in Scan Circuits During Test Applications,”Proc. ATS, pp. 324-329 (1994).
Chandra et al., “Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip,”Proc. DAC, pp. 166-169 (Jun. 2001).
Chandra et al., “Low-Power Scan Testing and Test Data Compression for System-on-a-Chip,”IEEE Trans. Computer-Aided Design, vol. 21, No. 5, pp. 597-604 (May 2002).
Chandra et al., “Reduction of SOC Test Data Volume, Scan Power and Testing Time Using Alternating Run-Length Codes,”Proc. DAC, pp. 673-678 (2002).
Chiu et al., “Jump Scan: A DFT Technique for Low Power Testing,”VLSI Test Symp., pp. 277-282 (2005).
Chou et al., “Scheduling Tests of VLSI Systems under Power Constraints,”IEEE. Trans. VLSI, vol. 5, No. 2, pp. 175-185 (Jun. 1997).
Gerstendörfer et al., “Minimized Power Consumption for Scan-Based BIST,” 8 pp. (also published as Gerstendörfer et al., “Minimized Power Consumption for Scan-Based BIST,”Proc. ITC, pp. 77-84 (1999)).
Girard et al., “A Test Vector Inhibiting Technique for Low Energy BIST Design,” 6 pp. (also published as Girard et al., “A Test Vector Inhibiting Technique for Low Energy BIST Design,”VLSI Test Symp., pp. 407-412 (1999)).
Girard et al., “High Defect Coverage with Low-Power Test Sequences in a BIST Environment,”IEEE Design&Test, pp. 44-52 (2002).
Huang et al., “A Token Scan Architecture for Low Power Testing,”Proc. ITC, pp. 660-669 (2001).
International Search Report and Written Opinion dated Sep. 10, 2008, from International Application No. PCT/US08/01866, 12 pp. (International Publication No. WO 2008/100520).
Iyengar et al., “Precedence-Based Preemptive, and Power-Constrained Test Scheduling for System-on-Chip,” 7 pp. (also published as Iyengar et al., “Precedence-Based Preemptive, and Power-Constrained Test Scheduling for System-on-Chip,”VLSI Test Symp., pp. 368-374 (2001)).
Kajihara et al., “Test Vector Modification for Power Reduction during Scan Testing,”VLSI Test Symp., pp. 160-165 (2002).
Lee et al., “Low Power Test Data Compression Based on LFSR Reseeding,” 6 pp. (also published as Lee et al., “Low Power Test Data Compression Based on LFSR Reseeding,”Proc. ICCD, pp. 180-185 (2004)).
Milor, “A Tutorial Introduction to Research on Analog and Mixed-Signal Circuit Testing,”IEEE Trans. on Circuits and Systems, vol. 45, No. 10, pp. 1389-1407 (Oct. 2008).
Mrugalski et al., “Test Response Compactor with Programmable Selector,”Proc. DAC, pp. 1089-1094 (2006).
Rajski et al., “Embedded Deterministic Test,”IEEE Trans. on Computer-Aided Design, vol. 23, vol. 5, pp. 776-792 (May 2004).
Remersaro et al., “Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs,”Proc. ITC, pp. 1-10 (2006).
Rosinger et al., “Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-seeding,” 6 pp. (also published as Rosinger et al., “Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-seeding,”Proc. ICCD, pp. 474-479 (2002)).
Sankaralingam et al., “Controlling Peak Power During Scan Testing,”VLSI Test Symp., pp. 153-159 (2002).
Sankaralingam et al., “Reducing Power Dissipation During Test Using Scan Chain Disable,”VLSI Test Symp., pp. 319-324 (2001).
Sankaralingam et al., “Static Compaction Techniques to Control Scan Vector Power Dissipation,” 6 pp. (also published as Sankaralingam et al., “Static Compaction Techniques to Control Scan Vector Power Dissipation,”VLSI Test Symp., pp. 35-40 (2000)).
Saxena et al., “An Analysis of Power Reduction Techniques in Scan Testing,”Proc. ITC, pp. 670-677 (2001).
Sinanoglu et al., “Test Power Reduction Through Minimization of Scan Chain Transitions,” 6 pp. (also published as Sinanoglu et al., “Test Power Reduction Through Minimization of Scan Chain Transitions,”VLSI Test Symp., pp. 166-171 (2002)).
Wang et al., “An Automatic Test Pattern Generator for Minimizing Switching Activity During Scan Testing Activity,”IEEE Trans. Computer-Aided Design, vol. 21, No. 8, pp. 954-968 (Aug. 2002).
Wang et al., “ATPG for Heat Dissipation Minimization During Test Application,”IEEE Trans. Computers, vol. 47, No. 2, pp. 256-262 (Feb. 1998).
Wang, “Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST,”Proc. ITC, pp. 834-843 (2002).
Wang et al., “LT-RTPG: A New Test-Per-Scan BIST TPG for Low Switching Activity,”IEEE Trans. Computer-Aided Design, vol. 25, No. 8, pp. 1565-1574 (Aug. 2006).
Wen et al., “Low-Capture-Power Test Generation for Scan-Based At-Speed Testing,”Proc. ITC, pp. 1-10 (2005).
Wen et al., “On Low-Capture-Power Test Generation for Scan Testing,”VLSI Test Symp., pp. 265-270 (2005).
Whetsel, “Adapting Scan Architectures for Low Power Operation,”Proc. ITC, pp. 863-872 (2000).
Zoellin et al., “BIST Power Reduction Using Scan-Chain Disable in the Cell Pr

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Low power scan testing techniques and apparatus does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Low power scan testing techniques and apparatus, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Low power scan testing techniques and apparatus will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2700601

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.