Fault tolerant operation of field programmable gate arrays

Error detection/correction and fault detection/recovery – Pulse or data error handling – Digital logic testing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C714S005110

Reexamination Certificate

active

06973608

ABSTRACT:
A method of fault tolerant operation of field programmable gate arrays (FPGAs), whether as an embedded portion of a system-on-chip or other application specific integrated circuit, utilizing incremental reconfiguration during normal on-line operation includes configuring an FPGA into a self-testing area and a working area. Within the self-testing area, programmable interconnect resources of the FPGA are tested for faults. Upon the detection of one or more faults within the interconnect resources, the faulty interconnect resources are identified and a determination is made whether utilization of the faulty interconnect resources is compatible with an intended operation of the FPGAs. If the faulty interconnect resources are compatible with the intended operation of the FPGA, utilization of the faulty interconnect resource is allowed to provide fault tolerant operation of the FPGA. If the faulty interconnect resources are not compatible with the intended operation of the FPGA, on the other hand, a multi-step reconfiguration process may be initiated which attempts to minimize the effects of each reconfiguration on the overall performance of the FPGA. In an alternate embodiment, the entire FPGA may be configured as one or more self-testing areas during off-line testing, such as manufacturing testing.

REFERENCES:
patent: 5081297 (1992-01-01), Lebel et al.
patent: 5090015 (1992-02-01), Dabbish et al.
patent: 5121394 (1992-06-01), Russell
patent: 5623501 (1997-04-01), Cooke et al.
A. Steininger and Scherer, “On the Necessity of On-Line BIST in Safety Critical Applications,” Proc. 29th Fault-Tolerant Computimg Symp, pp. 208-215, 1999.
M. Renovell, J. Portal, J. Figueras, and Y. Zorian, “Testing the Interconnect of RAM-Based FPGA,” Proc. IEEE Design & Test of Computers, vol. 15, No. 1, pp. 45-50, 1998.
S. Dutt and F. Hancheck, “REMOD: A New Methodology for Designing Fault-Tolerant Arithmetic Circuits,” IEEE Trans. on VLSI Systems, vol. 5, pp. 34-56, 1997.
S. Dutt, et al., “Efficient Incremental Rerouting for Fault Reconfiguration in Field Programmable Gate Arrays,” ACM/IEEE Int'l Conf. on Computer Aided Design, 1999.
J. Emmert and D. Bhatia, “Partial Reconfiguration of FPGA Mapped Designs with Applications to Fault Tolerance and Yield Enhancement”, Lecture Notes on Comp. Sci., vol. 1304, pp. 141-150, 1997.
J. Emmert and D. Bhatia, “A Fault Tolerant Technique for FPGAs”, Journal of Electronic Testing, vol. 16, pp. 591-606, 2000.
F. Hanchek and S. Dutt, “Methodologies for Tolerating Logic and Interconnect Faults in FPGAs,” IEEE Trans.on Computers, pp. 15-33, 1998.
J. Lach, et al., “Low Overhead Fault-Tolerant FPGA Systems,” IEEE Trans. on VLSI Systems, vol. 6, No 2, pp. 212-221, 1998.
J. Lach, et al., “Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures,” Proc. Int'l. Symp. on Defect and Fault Tolerance In VLSI Systems, 1999.
N. Mahapatra and S. Dutt, “Efficient Network Flow Based Technique for Dynamic Fault Reconfiguration in FPGAs”, Proc. Fault Tolerant Computing Symp., pp. 122-129, 1999.
C. Stroud, S. Wijesuriya, C. Hamilton, and M. Abramovici, “Built-In Self-Test of FPGA Interconnect,” Proc. Intn'l. Test Conf., pp. 404-411, 1998.
I. Harris and R. Tessier, “Interconnect Testing in Cluster-Based FPGA, Architectures”, Proc. AMC/IEEE Design Automation Conf., 2000.
I. Harris and R. Tessier, “Diagnosis of Interconnect Faults in Cluster-Based FPGA Architectures”, Proc. IEEE Intn'l Conf. on Computer Aided Design, 2000.
M. Abramovici, et al., “Using Roving STARs for On-Line Testing and Diagnosis of FPGAs in Fault-Tolerant Applications,” Proc. IEEE Intn'l. Test Conf., pp. 973-982, 1999.
M. Abramovici, et al., “Improving On-Line BIST-Based Diagnosis for Roving STARs,” Proc. IEEE Intn'l On-Line Testing Workshop, 2000.
K. Roy and S. Nag, “On Routability for FPGAs Under Faulty Conditions,” IEEE Trans on Computers, vol. 44, pp. 1296-1305, 1995.
Vijay Lakamraju and Russell Tessier, “Tolerating Operational Faults in Cluster-based FPGAs,” Proc. ACM Intn's Symposium on FPGAs, pp. 187-194, 2000.
C. Zeng; N. Saxena; and E. McCluskey, “Finite State Machine Synthesis with Concurrent Error Detection,” Proc. IEEE Int'l Test Conf., 1999, pp. 672-6789.
F. Lombardi; D. Ashen, X. Chen; and W.K. Huang, “Diagnosing Programmable Interconnect Systems for FPGAs,” Proc. ACM/SIGDA Intn'l Symp. on FPGAs, pp. 100-106, 1996.
J. Emmert and D. Bhatia, “Incremental Routing in FPGAs”, Proc. IEEE Int'l. ASIC Conf., pp. 302-305, 1998.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Fault tolerant operation of field programmable gate arrays does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Fault tolerant operation of field programmable gate arrays, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Fault tolerant operation of field programmable gate arrays will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3467515

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.