Enhanced transistor gate using E-beam radiation

Semiconductor device manufacturing: process – Radiation or energy treatment modifying properties of...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S671000, C438S720000, C438S723000, C438S719000, C430S328000, C430S313000, C430S296000

Reexamination Certificate

active

06828259

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates generally to integrated circuit (IC) fabrication. More particularly, the present invention relates to fabrication of transistors having uniform gate widths, reduced gate widths, and preserved minimum extension of the gates onto the field isolation region.
BACKGROUND OF THE INVENTION
The semiconductor or integrated circuit (IC) industry aims to manufacture ICs with higher and higher densities of devices on a smaller chip area to achieve greater functionality and to reduce manufacturing costs. This desire for large scale integration requires continued shrinking of circuit dimensions and device features. The ability to reduce the size of structures, such as, gate lengths and widths in field-effect transistors or the width of conductive lines, is driven by the performance of lithographic tools (e.g., wavelength of the exposure sources), resolution enhancement techniques (e.g., phase shifting masks, off-axis illumination, etc.), and photoresist materials (collectively referred to as lithographic techniques).
However, currently available lithographic techniques lack the resolution to print sufficiently small IC device features. Thus, various non-lithographic techniques are also employed to shrink or reduce feature dimensions after lithographic printing. One such non-lithographic technique is a resist trimming process that reduces or “trims” features patterned on a photoresist layer of a semiconductor wafer before such features are transferred to the underlying layer(s) of the semiconductor wafer. The resist trimming process utilizes a plasma etch to remove the desired amount of the patterned photoresist material. As an example, a feature patterned on a polystyrene-based photoresist material typically employed for wafer patterning using a 248 nm wavelength of light lithography process can initially have a dimension on the order of 150 nm and be plasma trimmed to a dimension of approximately 100 nm or less.
In contrast, it is difficult for features patterned on an acrylic, cycloacrilate and cycloolephine polymer-based photoresist material typically employed in lithography processes using 193 nm wavelength of light to realize a similar amount of dimensional reduction from a resist trimming process.
Present photoresist material used for 193 nm lithography exhibits poor trimming properties, suffering from, among other problems, high vertical resist erosion rate (Rv), low horizontal trim rate (Rh), a high rate of erosion of the ends of lines (Re). When attempting to trim resist to the small gate dimensions required for modern VLSI transistors, it is quite common for the vertical erosion rate to completely consume portions of the resist pattern before the desired in-plane dimensions have been reached, resulting in damaged or discontinuous transistor gates or gates exhibiting an unacceptably high and variable series resistance.
A typical resist material used in 193 nm lithography exhibits poor trimming properties, suffering from, among others, uncontrollable and different trim rates in the horizontal and vertical directions. It is not uncommon for features patterned on 193 nm photoresist materials to become deformed and/or consumed in the course of the resist trimming process, thereby preventing subsequent processes from commencing.
For example, transistor gates patterned using 193 nm lithography and a typical commercially available photoresist material can have critical dimensions (CDs) of 130-110 nm before the resist trimming process and the final critical dimensions (CDs) of approximately 70-80 nm after the resist trimming process. Any further trimming would typically result in non-uniform widths along the length of the gates, unacceptable consumption of the minimum extension of the gates onto the field isolation regions, (i.e. unacceptably large end of the line pull back) and/or excessive thinning of the gate pattern over topography steps such that pattern transfer to the underlying layer(s) of the wafer is not possible. Such poor trimming results can affect the operating conditions and/or performance of the transistors to the extent that the resist trimming process will become unusable without violating design rules for given technology scaling requirements.
Thus, there is a need for a process for enhancing the resist trimmability during etch and resist stability during etch to enable the successful transfer of transistor gates patterned on photoresist materials. There is a further need for a process of fabricating transistor gates having smaller critical dimensions, uniform widths along its length, and/or preserved minimum extension of the gates onto the field isolation regions than is possible with conventional photolithography and resist trimming process.
SUMMARY OF THE INVENTION
One embodiment of the invention relates to an integrated circuit fabrication process. The process includes patterning a transistor gate pattern on a photoresist layer, and curing the transistor gate pattern with an electron beam. The process further includes trimming the cured transistor gate pattern, and transferring the trimmed transistor gate pattern to a layer disposed below the photoresist layer to form a transistor gate. The transistor gate includes a width and a length. A variation of the width along the length of the transistor gate is reduced due to the curing step.
Another embodiment of the invention relates to a method of forming a transistor having a gate width of less than 70 nm. The method includes E-beam irradiation of a gate pattern of a photoresist layer, and trimming the E-beam irradiated gate pattern of the photoresist layer. The method further includes etching a polysilicon layer disposed below the photoresist layer in accordance with the trimmed gate pattern to form a gate of the transistor. The gate width is preferably less than 70 nm.
Still another embodiment of the invention relates to an integrated circuit. The integrated circuit includes an isolation region and a transistor surrounded by the isolation region. The transistor includes a gate. A critical dimension of the gate is less than approximately 60 nm. The gate is defined by an E-beam irradiated gate feature on a photoresist layer and trimming the E-beam radiation irradiated gate pattern of the photoresist layer, while preserving the gate to isolation line extension to ensure enhanced transistor performance.


REFERENCES:
patent: 3997367 (1976-12-01), Yau
patent: 4394211 (1983-07-01), Uchiyama et al.
patent: 4446222 (1984-05-01), Kress
patent: 5003178 (1991-03-01), Livesay
patent: 5139904 (1992-08-01), Auda et al.
patent: 5468595 (1995-11-01), Livesay
patent: 5658469 (1997-08-01), Jennison
patent: 5876903 (1999-03-01), Ng et al.
patent: 5962195 (1999-10-01), Yen et al.
patent: 5965461 (1999-10-01), Yang et al.
patent: 5994225 (1999-11-01), Liu et al.
patent: 6057066 (2000-05-01), Hanawa
patent: 6103457 (2000-08-01), Gabriel
patent: 6107172 (2000-08-01), Yang et al.
patent: 6110837 (2000-08-01), Linliu et al.
patent: 6174818 (2001-01-01), Tao et al.
patent: 6183937 (2001-02-01), Tsai et al.
patent: 6197687 (2001-03-01), Buynoski
patent: 6200903 (2001-03-01), Oh et al.
patent: 6232048 (2001-05-01), Buynoski et al.
patent: 6319655 (2001-11-01), Wong et al.
patent: 6358670 (2002-03-01), Wong et al.
patent: 6395447 (2002-05-01), Ishii et al.
patent: 6420097 (2002-07-01), Pike et al.
Chiong et al., “Resist contrast enhancement in high resolution electron beam lithography,” Journal of Vacuum Science & Technology, vol. 7, No. 6, Nov. 1989, pp. 1771-1777 (8 pgs).
Lee et al., “Fabrication of 0.06 &mgr;m Poly-Si Gate Using DUV Lithography with a Designed SixOyNzFilm as an Arc and Hardmask,” Symposium on VLSI Technology Digest of Technical Papers, 1997, pp. 131-132 (2 pgs.).
D. W. Hess and D. B. Graves, “Plasma-Enhanced Etching and Deposition,” Microelectronics Processing, (Eds.: D. W. Hess & K. F. Jensen) ACS (1989), Ch. 8 pp. 337-440 (34 pgs.).
Yang et al., “Electronic Beam Processing for Spin-on Polymers and its Applications to Back-End-of-Line (BEOL) Integration,” materials Research

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Enhanced transistor gate using E-beam radiation does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Enhanced transistor gate using E-beam radiation, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Enhanced transistor gate using E-beam radiation will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3317984

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.