Clean chemistry low-k organic polymer etch

Semiconductor device manufacturing: process – Chemical etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S706000, C438S745000, C438S704000

Reexamination Certificate

active

06337277

ABSTRACT:

BACKGROUND OF THE INVENTION
The present invention relates to the fabrication of semiconductor integrated circuits (IC's). More particularly, the present invention relates to methods and apparatuses for cleanly etching through an organic polymer layer of an IC layer stack.
During the manufacture of a semiconductor-based product, for example, a flat panel display or an integrated circuit, multiple deposition and/or etching steps may be employed. During deposition, materials are deposited onto a substrate surface (such as the surface of a glass panel or a substrate). For example, deposited layers such as various forms of silicon, silicon dioxide, silicon nitride, metals, dielectrics, polymers and the like may be formed on the surface of the substrate. Conversely, etching may be employed to selectively remove materials from predefined areas on the substrate surface. For example, etched features such as vias, contacts, or trenches may be formed in the layers of the substrate.
In semiconductor IC fabrication, successive layers of various materials are deposited on the substrate to form a layer stack. For example, layers of insulator, conductor and semiconductor are generally formed on the surface of the substrate. These layers are generally etch patterned to form devices such as transistors, capacitors, resistors and the like. In addition, conductive interconnect lines are employed to couple the devices of the IC together to form the desired circuit. The conductive interconnect lines can be formed in a variety of ways. In some processes, interconnects are formed by depositing a metal layer over the substrate, etching the metal layer to form conductive lines and filling the open areas surrounding the conductive lines with a dielectric material. In other processes, the interconnects are formed by depositing an insulating layer over the substrate, etching the insulating layer to form openings and filling the openings with a conductive material. By way of example, in damascene processing, openings are etched in a low K organic polymer layer and filled with a copper-based material to form the conductive interconnect lines.
In some cases, a passivating film is purposefully created on the side walls of the etched opening during etching to protect the side walls from etching and to control the profile angle of the opening. The passivating film generally consists of polymers formed from photoresist, the etchant source gases, and/or their reaction products. By way of example, while etching a low K organic polymer layer, some fluourocarbon etchants, e.g., CHF
3
, CH
2
F
2
, CH
3
F, CH
4
, C
4
F
8
, C
2
F
6
, C
2
HF
5
and/or the like, are typically used to help form polymer deposits on the walls of the opening. The polymer deposits are typically formed from fluorine and carbon contained in the fluorocarbon etchant source gas. In addition, some fluorine containing etchants may leave deposits on the side walls of the opening as well, by combining with carbon, which is produced during etching of a photoresist layer.
One problem associated with this technique is that the gas chemistry used to form the passivating film is not a clean chemistry. By clean chemistry, it is meant that the chemistry used to process the substrate leaves little or no polymer deposits. The aforementioned gas chemistries, e.g., fluourocarbons, generally leave a substantial amount of polymer deposition on the substrate that typically requires special and tedious clean treatment after the etch. By way of example, substrate stripping is one conventional technique for removing polymer deposits from the substrate. In stripping, substrate processing is stopped in order to input a stripping gas, and export the removed material. Other techniques may include using a chemical treatment, for example, an ammonia and hydrofluoric acid solution may be used to remove the deposits. In this case, the process must be carefully controlled to prevent the solution from attacking portions of the integrated circuit. As should be appreciated, both stripping and chemical treatments disadvantageously lower substrate throughput, and typically add costs due to the loss of production. Moreover, these cleaning processes may only be marginally successful. That is, not all of the deposits will be removed in stripping or chemical treatments. As a result, substrate yield will be adversely effected. For example, the deposits may become an impediment to forming the integrated circuit, especially as devices get smaller and smaller and more closely packed together.
Not only do the polymer deposits effect the substrate, but they also effect the surrounding process chamber. That is, the deposits may accumulate on the chamber walls and become a source of harmful particulate, especially when the deposits flake off onto the substrate surface. For example, particles on the substrate surface may block a portion of the substrate that needs to be etched. As should be appreciated, these deposits, as well as any resulting particulate contamination, may produce undesirable and/or unpredictable results. For example, accumulation of material on the chamber may lead to variations in process performance, i.e., etch rate, etch uniformity, etch profile and the like. As such, it is extremely difficult to control the critical dimensions of the integrated circuit, and as a result, the possibilities of device failure are increased. As should be appreciated, device failure tends to lead to a reduction in productivity, and higher costs for the manufacturer.
Conventional techniques for removing build-ups of deposits inside the process chamber include, for example, wet cleaning and dry cleaning. In wet cleaning, the reactor has to be shut down, and the parts have to be manually removed. In dry cleaning, substrate processing is stopped in order to input a cleaning gas, and export the removed material. Similarly to the above, both cleaning processes disadvantageously lower substrate throughput, and typically add costs due to loss of production. In addition, these processes may only be marginally successful. That is, not all of the deposits will be removed in the cleaning process.
In view of the foregoing, there are desired improved techniques and apparatuses for providing a clean etch process.
SUMMARY OF THE INVENTION
The invention relates, in one embodiment, to a method of etching a layer disposed over a substrate. The method includes flowing H
2
O vapor over the layer. The method further includes condensing the H
2
O vapor on the layer. The method additionally includes etching through the layer to form an opening having a side wall. The condensed H
2
O vapor is arranged to cover the side wall to protect the side wall from etching. The invention is particularly useful in etching an organic polymer layer.
The invention relates, in another embodiment, to a method for etching a substrate in a plasma process chamber. The method includes providing a substrate having a substrate surface. An organic polymer layer is disposed over the substrate surface and an etch mask is disposed over the organic polymer layer. The method further includes flowing a condensable vapor into the process chamber and condensing (or freezing) the condensable vapor at least on the surface of the organic polymer layer. The method additionally includes flowing an etchant source gas into the process chamber and forming a plasma from the etchant source gas. The method also includes etching through the organic polymer layer using at least the plasma. The etching is arranged to form an opening with a side wall in the organic polymer layer. Additionally, during etching, the condensed (or frozen) condensable vapor is arranged to form a passivating film along the side wall to protect the side wall from etching.
In most embodiments, a clean chemistry is used for both the condensable vapor and the etchant source gas. By way of example, the clean chemistry generally includes an H
2
O vapor and an O
2
etchant source gas. These gases may be premixed or separately delivered into the process chamber. In some embodiments, the H

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Clean chemistry low-k organic polymer etch does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Clean chemistry low-k organic polymer etch, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Clean chemistry low-k organic polymer etch will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2826523

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.