High density plasma chemical vapor deposition apparatus and...

Semiconductor device manufacturing: process – Formation of semiconductive active region on any substrate – Amorphous semiconductor

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S758000

Reexamination Certificate

active

06514837

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a semiconductor device fabrication apparatus and a method for filling a gap using the same, and more particularly, to a high density plasma chemical vapor deposition apparatus that is capable of filling a gap of a high aspect ratio without a void and its method using the same.
2. Description of the Background Art
The gap presented in the descriptions defines a collapsed portion compared with a peripheral pattern during a semiconductor device fabricating processes, such as a trench which is formed between adjacent individual elements or between metal wiring or formed in a STI (Shallow Trench Isolation) process.
As the semiconductor device becomes more integrated, the distance between individual elements or the distance between the metal wiring or the separation region, that is, the width of the trench, is accordingly reduced. Thus, the aspect ratio of the gap formed during the semiconductor device fabricating processes becomes great and it is not easy to fill the gap of a high aspect ratio without a void.
Recently, a technique that the gap of a high aspect ratio is filled with an insulation material by using a high density plasma (referred to as ‘HDP’, hereinafter) chemical vapor deposition (CVD) is widely employed. In a thin film deposition process using the HDP CVD method, since the process of depositing a thin film and an etching process by sputtering are simultaneously performed, the gap of a high aspect ratio can be effectively filled without a void.
The HDP can be formed by applying appropriately a radio frequency of a single frequency band or a radio frequency of several frequency bands to a coil antenna surrounding a vacuum chamber. Thusly formed plasma is called ICP (Inductively Coupled Plasma).
FIG. 1
is a sectional view for explaining a gap filling method by using a PECVD (Plasma-enhanced CVD) method.
As shown in the drawing, aluminum patterns
20
are formed on a wafer
10
and a gap
30
between the aluminum patterns
20
is filled with a silicon oxide
40
.
In this respect, if the step coating of the silicon oxide film
40
is not good, as the deposition process of the silicon oxide film
40
proceeds, the entrance of the gap
30
is clogged by the silicon oxide film
40
before it is wholly filled with the silicon oxide film
40
, resulting in that the void ‘A’ is formed in the gap
30
.
This phenomenon is observed to be more serious as the space between the aluminum patterns
20
is narrow, that is, the aspect ratio of the gap
30
is increased. But, by using the HDP CVD method, the phenomenon can be remarkably reduced.
FIG. 2
is a schematic view of a HDP-CVD apparatus in accordance with a conventional art.
As shown in the drawing, a vacuum chamber
11
provided with the gas inlet
21
a
and a gas output
21
b
includes an upper container
11
a
and a lower container
11
b.
The upper container
11
a
is made of quartz and formed in a dome shape.
A suscepter
31
is installed in the vacuum chamber
11
and a wafer
41
is mounted on the upper surface of the suscepter
31
. A wafer chuck
31
a
is installed at a periphery of the wafer
41
mounted on the upper surface of the suscepter
31
to prevent the wafer from moving on the upper surface of the suscepter
31
.
A coil antenna
51
is installed at the outer wall of the upper container
11
a
to receive an RF power from an RF generator (not shown). When an RF power of a single frequency band or an RF power of various frequency bands is applied to the coil antenna
51
, an HDP
61
is formed at the upper portion of the wafer
41
of the space within the vacuum chamber
11
.
The conventional HDP-CVD apparatus is mostly used to fill the gap of a trench in the STI process or to fill the gap between the aluminum wiring, a cooling unit (not shown) is installed in the suscepter
31
.
That is, since the temperature of the wafer
41
naturally goes up to about 700° C. due to the HDP energy during the thin film deposition process using plasma, a water cooled tube (not shown) in which a cooling water flows is installed in the suscepter
31
to protect the aluminum wiring formed on the wafer
41
.
FIGS. 3A through 3D
are sectional views for explaining a gap filling method using the HDP-CVD apparatus of FIG.
2
.
FIG. 3A
is a sectional view for explaining a process for forming a silicon oxide film
130
.
First, when aluminum patterns
120
are formed on the wafer
110
, a gap
125
is formed between the aluminum patterns
120
. Next, SiH4 gas, O2 gas and Ar gas are mixedly put in the HDP CVD apparatus of
FIG. 2
, the RF power is applied to the coil antenna
51
to change the gases to a HDP state, and a silicon oxide film
130
is deposited on the resulted structure where the aluminum pattern
120
has been formed.
At this time, in the process of depositing the silicon oxide film
130
, since the temperature of the wafer
110
naturally goes up to about 700° C. due to the HDP energy. Thus, in order to thermally protect the aluminum pattern
120
, the suscepter on which the wafer is mounted is cooled.
Since the HDP has a high energy, a phenomenon occurs that the silicon oxide film
130
is deposited on the wafer, and at the same time, the deposited silicon oxide film
130
is sputtered by the ions existing in the plasma.
In this respect, since the etching rate of the silicon oxide film
130
is even more rapid at the corner portion of the aluminum pattern
120
than at the other portion, the silicon oxide film
130
has a profile of a 45° sloped face ‘B’ at the corner portion of the aluminum pattern
120
.
Accordingly, even though the deposition process of the silicon oxide film proceeds, the phenomenon of clogging the entrance of the gap
125
does not occur, so that, unlike the case by the conventional PECVD, the gap
125
between the aluminum patterns
120
is filled without a void as shown in FIG.
3
B.
However, if the gap
125
becomes narrow, the phenomenon that the silicon oxide which has been etched by sputtering is redeposited, making it difficult to fill the gap without a void even with the conventional HDP-CVD method.
In the case that the silicon oxide which has been etched is redeposited, as shown in
FIG. 3C
, an overhang portion ‘C’; is formed at the silicon oxide film
130
at the corner portion of the aluminum pattern
120
, resulting in a problem that, as in the case using the conventional PECVD, the entrance of the gap
125
is first closed to form a void within the gap
125
before the gap
125
is completely filled with the silicon oxide film
130
.
In order to solve the problem, if the strength of the plasma is increased, overetching takes place that even the corner portion of the aluminum pattern
120
is etched as shown in
FIG. 3D
, disadvangateously damaging the aluminum pattern
120
.
Thus, in order to fill the narrow gap
125
without a void by using the HDP-CVD method, it is critical to precisely control the redeposition rate of the sputtered silicon oxide.
SUMMARY OF THE INVENTION
Therefore, an object of the present invention is to provide a high density plasma chemical vapor deposition apparatus that is capable of filling a gap without a void, and a gap filling method using the apparatus.
To achieve these and other advantages and in accordance with the purpose of the present invention, as embodied and broadly described herein, there is provided a high density plasma chemical vapor deposition apparatus including: a vacuum chamber provided with an inlet and an outlet for a reaction gas; a suscepter positioned within the vacuum chamber to mount a wafer thereon, the suscepter having a wafer chuck at its upper surface to prevent the wafer from moving horizontally; a coil antenna surrounding the upper outer wall of the vacuum chamber; an RF generator for applying an RF power to the coil antenna; and a heating unit for heating the wafer mounted on the suscepter.
In the high density plasma chemical vapor deposition apparatus of the present invention, the upper portion of the vacuum chamber may be formed in a quar

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

High density plasma chemical vapor deposition apparatus and... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with High density plasma chemical vapor deposition apparatus and..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and High density plasma chemical vapor deposition apparatus and... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3142559

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.