Dual bake for BARC fill without voids

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C430S005000, C438S714000, C438S692000, C438S694000, C438S697000, C438S705000, C438S734000, C438S723000, C438S740000, C134S001200

Reexamination Certificate

active

06605546

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates generally to the elimination of possible voiding of etch resistant material in vias in semiconductor device fabrication. In particular, this invention relates to the elimination of voiding of spin-on bottom anti-reflection coating (BARC) material in vias using a dual bake in semiconductor device fabrication.
2. Description of the Related Art
In certain processes of making semiconductor devices, a via or hole is etched through an insulating dielectric layer to expose an underlying layer, and the insulating dielectric layer is then etched again to form a wider trench above the via or contact hole. For example, in a via first dual inlaid dual damascene process, via holes are first etched, and then overlying trenches connecting respective via holes are formed in an inter-level dielectric (ILD). The trenches and vias are then filled with a conductive material that connects to underlying conducting material on the device through the via holes. In this process a via hole is first etched in a hole formation etch, and then exposed to a second etch in the trench formation etch. In this case, the second etch exposes any layer exposed by the hole to the etchant in the second etch.
SUMMARY OF THE INVENTION
According to an embodiment of the present invention there is provided a method for forming a semiconductor device. The method comprises forming a first layer over a semiconductor substrate. At least one hole is formed through the first layer. A bottom anti-reflective coating (BARC) layer is formed in the at least one hole. A first heating is performed to heat the BARC layer to a flow temperature. A second heating is performed to heat the BARC layer to a hardening temperature so that the BARC layer hardens, wherein the hardening temperature is greater than the flow temperature. An etch is performed to form a trench in the first layer and over the at least one hole, wherein the hardened BARC layer in the at least one hole acts as an etch resistant layer during the etch.
According to another embodiment of the present invention there is provided a method for forming a semiconductor device. The method comprises forming a first layer over a semiconductor substrate. A first etch is performed to form a plurality of holes through the first layer. A bottom anti-reflective coating (BARC) layer is formed over the first layer and in the plurality of holes. The BARC layer is heated to a flow temperature so that the BARC layer flows to fill any voids present in the plurality of holes. The BARC layer is hardened after heating the BARC layer to a flow temperature. A second etch is performed to form a trench in the first layer and over the at least one hole, wherein the hardened BARC layer in the at least one hole acts as an etch resistant layer during the second etch.
According to another embodiment of the present invention there is provided a method for forming a semiconductor device. The method comprises forming a first layer over a semiconductor substrate. At least one hole is formed through the first layer. A bottom anti-reflective coating (BARC) layer is formed in the at least one hole. The semiconductor substrate is placed in a heating chamber. A first heating is performed within the heating chamber to heat the BARC layer to a flow temperature. A second heating is performed within the heating chamber to heat the BARC layer to a hardening temperature so that the BARC layer hardens, wherein the hardening temperature is greater than the flow temperature, wherein the first heating and the second heating are performed in the heating chamber without removing the semiconductor substrate. An etch is performed to form a trench in the first layer and over the at least one hole, wherein the hardened BARC layer in the at least one hole acts as an etch resistant layer during the etch.


REFERENCES:
patent: 5516625 (1996-05-01), McNamara et al.
patent: 5705430 (1998-01-01), Avanzino et al.
patent: 5965461 (1999-10-01), Yang et al.
patent: 6211061 (2001-04-01), Chen et al.
patent: 6323123 (2001-11-01), Liu et al.
patent: 6372616 (2002-04-01), Yoo et al.
patent: 6406995 (2002-06-01), Hussein et al.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Dual bake for BARC fill without voids does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Dual bake for BARC fill without voids, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Dual bake for BARC fill without voids will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3089473

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.