Fully X-tolerant, very high scan compression scan test...

Error detection/correction and fault detection/recovery – Pulse or data error handling – Digital logic testing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

07979763

ABSTRACT:
Scan testing and scan compression are key to realizing cost reduction and shipped quality. New defect types in ever more complex designs require increased compression. However, increased density of unknown (X) values reduces effective compression. A scan compression method can achieve very high compression and full coverage for any density of unknown values. The described techniques can be fully integrated in the design-for-test (DFT) and automatic test pattern generation (ATPG) flows. Results from using these techniques on industrial designs demonstrate consistent and predictable advantages over other methods.

REFERENCES:
patent: 6684358 (2004-01-01), Rajski et al.
patent: 7032148 (2006-04-01), Wang et al.
patent: 7178078 (2007-02-01), Hiraide et al.
patent: 7395473 (2008-07-01), Cheng et al.
patent: 7610527 (2009-10-01), Wang et al.
patent: 7716548 (2010-05-01), Cheng et al.
patent: 7757138 (2010-07-01), Matsuo et al.
patent: 7818644 (2010-10-01), Rajski et al.
patent: 2005/0060625 (2005-03-01), Wang et al.
patent: 2005/0268194 (2005-12-01), Wang et al.
patent: 2008/0294953 (2008-11-01), Cheng et al.
Al-Yamani et al. “Seed Encoding with LFSRs and Cellular Automata”, Design Automation Conference, 2003, Jun. 2-6, 2003, pp. 560-565.
Barnhart et al. “OPMISR: The Foundation for Compressed ATPG Vectors”, International Test Conference 2001, pp. 748-757.
Chiusano et al. “On Applying the Set Covering Model to Reseeding”, Design, Automation and Test in Europe, Conference and Exhibition 2001, pp. 156-160.
Czysz et al. “Low Power Embedded Deterministic Test”, 25thIEEE VLSI Test Symposium, 2007, May 6-10, 2007, pp. 75-83.
Czysz et al. “Low-Power Test Data Application in EDT Environment Through Decompressor Freeze”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, No. 7, Jul. 2008, pp. 1278-1290.
Dutta et al. “Using Limited Dependence Sequential Expansion for Decompressing Test Vectors”, International Test Conference 2006, pp. 1-9.
Ferhani et al. “Classifying Bad Chips and Ordering Test Sets”, IEEE International Test Conference 2006, pp. 1-10.
Hellebrand et al. “Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers”, IEEE Transactions on Computers, vol. 44, No. 2, Feb. 1995, pp. 223-233.
Hellebrand et al. “Generation of Vector Patterns Through Reseeding of Multiple-Polynomial Linear Feedback Shift Registers”, IEEE International Test Conference on Discover the New World of Test and Design, 1992, pp. 120-129.
Keller et al. “An Economic Analysis and ROI Model for Nanometer Test”, IEEE International Test Conference, Oct. 26-28, 2004, pp. 518-524.
Koenemann et al. “A SmartBIST Variant with Guaranteed Encoding”, Proceedings of the 10thAsian Test Symposium, 2001, pp. 325-330.
Lai et al. “A Reseeding Technique for LFSR-Based BIST Applications”, Proceedings of the 11thAsian Test Symposium, 2002, pp. 200-205.
Mitra et al. “X-Compact: An Efficient Response Compaction Technique for Test Cost Reduction”, International Test Conference, 2002, pp. 311-320.
Mitra et al. “X-Tolerant Signature Analysis”, IEEE International Test Conference, 2004, Oct. 26-28, 2004, pp. 432-441.
Mrugalski et al. “New Test Data Decompressor for Low Power Applications”, 44thACM/IEEE Design Automation Conference 2007, pp. 539-544.
Patel et al. “Application of Saluja-Karpovsky Compactors to Test Responses with Many Unknowns”, VLSI Test Symposium, IEEE 2003, pp. 107-112.
Rajski et al. “Automated Synthesis of Phase Shifters for Built-In Self-Test Applications”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, No. 10, Oct. 2000, pp. 1175-1188.
Rajski et al. “Convolutional Compaction of Test Responses”, International Test Conference 2003, pp. 745-754.
Rajski et al. “Embedded Deterministic Test for Low Cost Manufacturing Test”, International Test Conference 2002, pp. 301-310.
Rajski et al. “Synthesis of X-Tolerant Convolutional Compactors”, 23rdIEEE VLSI Test Symposium, 2005, May 1-5, 2005, pp. 114-119.
Sharma et al. “X-Filter: Filtering Unknowns from Compacted Test Response”, IEEE International Test Conference, 2005, Nov. 8, 2005, 9 pages.
Touba, “X-Canceling MISR—An X-Tolerant Methodology for Compacting Output Responses with Unknowns Using a MISR”, IEEE International Test Conference 2007, Oct. 21-26, 2007, pp. 1-10.
Vermeulen et al. “Trends in Testing Integrated Circuits”, IEEE International Test Conference 2004, pp. 688-697.
Vranken et al. “ATPG Padding and ATE Vector Repeat Per Port for Reducing Test Data Volume”, International Test Conference, 2003, Sep. 30-Oct. 2, 2003, pp. 1069-1078.
Wohl et al. “Design of Compactors for Signature-Analyzers in Built-In Self-Test”, IEEE International Test Conference, 2001, pp. 54-63.
Wohl et al. “Efficient Compression of Deterministic Patterns into Multiple PRPG Seeds”, IEEE International Test Conference, 2005, Nov. 8, 2005, 10 pages.
Wohl et al. “Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture”, Design Automation Conference, 2003, pp. 566-569.
Wohl et al. “Fully X-Tolerant Combinational Scan Compression”, IEEE International Test Conference 2007, pp. 1-10.
Wohl et al. “Minimizing the Impact of Scan Compression”, 25thIEEE VLSI Test Symposium 2007, May 6-10, 2007, pp. 67-74.
Wohl et al. “X-tolerant Compression and Application of Scan-ATPG Patterns in a BIST Architecture”, IEEE International Test Conference 2003, pp. 727-736.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Fully X-tolerant, very high scan compression scan test... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Fully X-tolerant, very high scan compression scan test..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Fully X-tolerant, very high scan compression scan test... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2734056

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.