Use of a capping layer to reduce particle evolution during...

Semiconductor device manufacturing: process – Coating with electrically or thermally conductive material – To form ohmic contact to semiconductive material

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S778000

Reexamination Certificate

active

06531382

ABSTRACT:

BACKGROUND OF THE INVENTION
(1) Field of the Invention
The present invention relates to methods used to fabricate semiconductor devices and more specifically to a method used to pre-clean a surface prior to metal deposition.
(2) Description of Prior Art
The use of micro-miniaturization, or the ability to fabricate semiconductor devices with sub-micron features, has allowed the performance of the sub-micron semiconductor device to be increased, while the fabrication cost for semiconductor chips comprised with sub-micron semiconductor devices, has decreased. The use of sub-micron features have allowed performance degrading, parasitic capacitances to be reduced, in addition to allowing a greater number of smaller semiconductor chips to be obtained from a specific size starting substrate, thus reducing the fabrication cost for a specific semiconductor chip. The smaller semiconductor chips, comprised with sub-micron features, still provide device densities equal to, or greater than, counterpart semiconductor chips, comprised with larger features.
One example of the use of sub-micron features is formation of sub-micron contact holes, or openings, in specific dielectric layers, to expose a portion of an underlying metal structure. The use of micro-miniaturization have allowed contact holes, or via openings, with diameters less then 0.25 um, to routinely be formed. However the small contact area now presented by the sub-micron opening demands a clean, or oxide free metal surface to be exposed at the bottom of the sub-micron via opening to minimize resistance at this interface when overlaid with a subsequent, overlying metal plug structure. However several processes performed prior to metal deposition, can result in unwanted material residing on the exposed metal surface. The removal of the photoresist shape used to define the sub-micron contact hole, or via opening, results in the formation of a native oxide layer on the metal structure, exposed at the bottom of the sub-micron opening. In addition the insulator layer in which the sub-micron opening was defined in, when subjected to the plasma pre-clean procedure, used to remove native oxide from the exposed metal surface, can flake or be disturbed, re-depositing on metal surface as well as interfering with the native oxide removal procedure.
This invention will teach a procedure in which a metal pre-clean can be performed via argon sputtering, resulting in removal of native oxide from the surface of the metal structure exposed at the bottom of the sub-micron opening in a composite insulator layer, in addition to avoiding flaking or particle generation of components of the composite insulator layer exposed during the pre-clean procedure. This is accomplished via use of a disposable capping insulator layer, used to protect the composite insulator layers in which the sub-micron opening is defined in, from thinning, as well as from flaking or contaminating the exposed metal surface, during the metal pre-clean procedure. Prior art, such as Lai et al, in U.S. Pat. No. 6,136,680, describe a procedure for preparing a metal surface for acceptance of an overlying metal layer, however that prior art does not describe the novel, disposable capping insulator layer, used in the present invention, which allows preparation of a metal surface, exposed in a sub-micron opening, to be successfully performed.
SUMMARY OF THE INVENTION
It is an object of this invention to define an opening with a sub-micron diameter, in a composite insulator layer, to expose a portion of a top surface of a metal structure.
It is another object of this invention to employ a capping insulator layer, as a top layer of the composite insulator layer, to protect underlying components of the composite insulator layer from a metal pre-clean procedure performed in a plasma.
It is still another object of this invention to consume the capping insulator layer during the plasma metal pre-clean procedure.
In accordance with the present invention a method of plasma cleaning a metal structure, located at the bottom of a sub-micron diameter opening in an composite insulator layer, and featuring overlying, disposable capping insulator layer as a component of the composite insulator layer, is described. After creation of an underlying metal structure a composite insulator layer comprised of: a thin, underlying, silicon rich oxide (SRO), layer; a fluorinated silica glass (FSG), layer; a plasma enhanced oxide (PE-OX), layer; a silicon oxynitride, bottom anti-reflective coating, (BARC); and a thin, overlying, disposable capping oxide layer; is deposited. A photoresist shape is used as a mask to allow a sub-micron diameter opening to be defined in the composite insulator layer, exposing a portion of the top surface of the metal structure. After removal of the defining photoresist shape, an argon sputtering procedure is performed in a metal pre-clean chamber, removing native oxide from the top surface of the exposed metal structure, in addition to removing the capping insulator layer, which protected the underlying SiON BARC component of the composite insulator layer from the argon sputtering procedure, preventing SiON flaking and particles from contaminating the exposed surface of the plasma cleaned, metal structure. In situ deposition of a metal layer, is then accomplished filling the sub-micron diameter opening, resulting in an oxide free, and particle free interface between the filling metal layer and the top surface of the underlying plasma cleaned, metal structure.


REFERENCES:
patent: 6042999 (2000-03-01), Lin et al.
patent: 6129819 (2000-10-01), Shan et al.
patent: 6133144 (2000-10-01), Tsai et al.
patent: 6136680 (2000-10-01), Lai et al.
patent: 6326301 (2001-12-01), Venkatesan et al.
patent: 2002/0072250 (2002-06-01), Jeong et al.
patent: 2000236021 (2000-08-01), None

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Use of a capping layer to reduce particle evolution during... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Use of a capping layer to reduce particle evolution during..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Use of a capping layer to reduce particle evolution during... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3033967

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.