Integrated electrodeposition and chemical mechanical...

Abrading – Abrading process

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C451S041000, C451S288000, C438S687000

Reexamination Certificate

active

06352467

ABSTRACT:

BACKGROUND
The present invention relates generally to semiconductor device fabrication, and more particularly an integrated tool for performing electrodeposition and chemical-mechanical polishing.
Some semiconductor device fabrication processes require a planarizing step following a metallization step. For example, in one well-known dual inlaid process, referred to as dual damascene, an electrodeposition step may be performed to fill vias, contacts and wiring on the substrate. Once the vias, contacts and wiring have been formed, the metal is planarized to remove excess metal overlying the dielectric layer.
Chemical-mechanical polishing (CMP) is one method of planarizing a substrate. CMP typically requires that the substrate be mounted on a carrier or polishing head in a CMP apparatus. The exposed surface of the substrate is placed against a rotating polishing pad, and an aqueous polishing slurry is supplied to the polishing pad. The interaction of the polishing pad, slurry and substrate results in planarization of the substrate and removal of excess metal. The substrate is then rinsed, to remove the slurry, and dried in a controlled manner to minimize particulates and contamination of the substrate.
Electrodeposition is one method of depositing a metal layer (“metallization”) on a substrate. Electrodeposition requires that the substrate be suspended in an aqueous chemical solution. In one method the metal to be deposited is suspended in the solution and a voltage is applied between the substrate (cathode) and the metal (anode). This process is called “electrolytic plating” or “electroplating.” In an alternative method an electrochemical reaction deposits the metal layer onto the substrate without an applied voltage. This alternative method is called “electroless plating.” Again, as in CMP, the substrate is then rinsed, to remove the chemical solution, and dried in a controlled manner to minimize particulates and contamination of the substrate.
Typically, substrates are dried in a controlled manner after any wet processing. This is because if substrates are allowed to dry by evaporation, contamination concentrations increase as the droplets of water evaporate, causing concentrations of silicon and other contaminants on the substrate which can create defects. However, multiple clean and dry processes reduce the throughput.
SUMMARY
In one aspect, the invention is directed to a method of manufacturing a semiconductor device. A metal layer is electrodeposited onto a substrate, and the metal layer is chemically-mechanically polished. The substrate is not dried between the electrodeposition and polishing step.
Implementations of the invention may include the following. The metal may be copper. The substrate may be extracted from a slot in a cassette, transported to an electrodeposition station for electrodeposition, rinsed, transported to a chemical mechanical polishing apparatus for polishing, cleaned and dried, and returned to the slot in the cassette. The thickness of the metal layer may be measured prior to polishing, and the measured thickness may be used to modify a polishing or electrodeposition parameter. The thickness of the metal layer may be measured after polishing as well.
In another aspect, the invention is directed to an integrated tool for fabricating a semiconductor device. The tool includes an electrodeposition station for electrodepositing a metal layer on a substrate, a chemical mechanical polishing apparatus for polishing the metal layer, and a substrate-handling robot for transporting the substrate between the electrodeposition station and the chemical mechanical polishing station. The electrodeposition station, chemical mechanical polishing apparatus and substrate-handling robot are disposed to form an integrated tool.
Implementations of the invention may include the following. The tool may include a plurality of electrodeposition stations and the chemical mechanical polishing apparatus may include a plurality of polishing stations. The electrodeposition stations and chemical mechanical polishing apparatus may surround the substrate-handling robot in a cluster arrangement, or the substrate-handling robot may move along a linear path to carry the substrate between the electrodeposition stations and chemical mechanical polishing apparatus. There may be a metrology station to measure the thickness of the metal layer prior to polishing at the chemical mechanical polishing station. The metrology station may include a measuring apparatus which directly contacts the metal layer. There may be rinse station, a drying station for drying the substrate by means other than simple evaporation, a wetting station to rinse the substrate with a solution which may include a surfactant, and/or a cleaning station. There may also be a load lock to receive and hold a cassette from outside the tool.
In another aspect, the invention is directed to a method of manufacturing a semiconductor device in an integrated tool. A metal layer is electrodeposited onto a substrate at an electrodeposition station, the thickness of the metal layer is measured at a metrology station, and the metal layer is chemically-mechanically polished at a chemical mechanical polishing apparatus. A polishing parameter is determined by the measured thickness.
Implementations of the invention may include the following. The electrodeposition station, the metrology station, and the chemical mechanical polishing station may be enclosed by a housing to form a single integrated tool. Measuring the thickness may include contacting a surface of the substrate with a metrology instrument.
In another aspect, the invention is directed to a load lock. The load lock includes a chamber having a port for transferring a substrate from the load lock to an interior of a tool, a vertically movable indexing arm, a support for holding a cassette connected to the indexing arm, and means for drying a substrate held in the cassette in the chamber.
Implementations of the invention may include the following. The drying means may include a heater or a nozzle for directing air at the substrate.
In another aspect, the invention is directed to a load lock. The load lock includes a chamber having a port for transferring a substrate from the load lock to an interior of a tool, a vertically movable indexing arm, a support for holding a cassette connected to the indexing arm, and means for cleaning a substrate held in the cassette in the chamber.
In another aspect, the invention is directed to a method of manufacturing a semiconductor device in which a substrate is withdrawn from a cassette, a metal layer is electrodeposited onto the substrate, the metal layer is chemically-mechanically polished, and the substrate is returned to the cassette. The substrate is not returned to the cassette between the electrodeposition and polishing steps.
Implementations of the invention may include the following. The substrate may be cleaned or cleaned and dried prior to being returning to the cassette. The substrate may be rinsed with a solution which may include a surfactant prior to electrodeposition.
Advantages of the invention may include one or more of the following. A single tool integrates the electrodeposition and chemical-mechanical polishing processes. The danger of substrate contamination is reduced. The tool may have a single interface which accepts and returns a dry, clean wafer. The tool improves throughput by eliminating unnecessary drying and cleaning steps between the electrodeposition and chemical-mechanical polishing steps. In addition, by eliminating unnecessary drying equipment, the footprint and cost of the tool are reduced.
Other features and advantages of the invention will be apparent from the description which follows, including the claims and drawings.


REFERENCES:
patent: 3962834 (1976-06-01), Ostarch
patent: 4789438 (1988-12-01), Polan
patent: 4956313 (1990-09-01), Cote et al.
patent: 5225034 (1993-07-01), Yu et al.
patent: 5354490 (1994-10-01), Yu et al.
patent: 5478436 (1995-12-01), Winebarger et al.
patent: 5547415 (1996-08-01), Ha

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Integrated electrodeposition and chemical mechanical... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Integrated electrodeposition and chemical mechanical..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Integrated electrodeposition and chemical mechanical... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2819425

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.