Systems and methods for process and user driven dynamic...

Electrical computers and digital processing systems: support – Digital data processing system initialization or configuration

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C713S100000, C713S300000, C713S320000, C455S127500, C455S574000

Reexamination Certificate

active

07913071

ABSTRACT:
Certain embodiments of the present invention provide a method for power management including determining at least one of an operating frequency and an operating voltage for a processor and configuring the processor based on the determined at least one of the operating frequency and the operating voltage. The operating frequency is determined based at least in part on direct user input. The operating voltage is determined based at least in part on an individual profile for processor.

REFERENCES:
patent: 6714890 (2004-03-01), Dai
patent: 7112978 (2006-09-01), Koniaris et al.
patent: 7119523 (2006-10-01), Nakai
patent: 7467318 (2008-12-01), Bruno et al.
patent: 7577859 (2009-08-01), Bilak
patent: 7603575 (2009-10-01), Woodbridge et al.
patent: 2004/0025069 (2004-02-01), Gary et al.
patent: 2005/0097228 (2005-05-01), Flautner et al.
patent: 2005/0132238 (2005-06-01), Nanja
patent: 2006/0123252 (2006-06-01), Vaidya et al.
patent: 2008/0141047 (2008-06-01), Riviere-Cazaux
Anand, M., Nightingale, E., and Flinn, J. Self-tuning Wireless Network Power Management. In The Ninth Annual International Conference on Mobile Computing and Networking (MobiCom'03) (2003).
Borkar, S., Karnik, T., Narendra, S., Tschanz, J., Keshavarzi, A., and De, V. Parameter Variations and Impact on Circuits and Microarchitecture. In Proceedings of the ACM/IEEE Design Automation Conference (DAC) (2003).
Brakmo, L. S., O'Malley, S. W., and Peterson, L. L. TCP Vegas: New Techniques for Congestion Detection and Avoidance. In Proceedings of the Conference on Communications Architectures, Protocols and Applications (1994), pp. 24-35.
Brock, B., and Rajamani, K. Dynamic Power Management for Embedded Systems. In Proceedings of the IEEE SOC Conference (2003).
Brooks, D., and Martonosi, M. Adaptive Thermal Management for High-Performance Microprocessors. In Workshop on Complexity Effective Design (2000).
Choi, K., Soma, R., and Pedram, M. Dynamic Voltage and Frequency Scaling based on Workload Decomposition. In Proceedings of the 2004 International Symposium on Low Power Electronics and Design (ISLPED '04) (2004), ACM Press, pp. 174-179.
Cohen, A., Finkelstein, F., Mendelson, A., Ronen, R., and Rudoy, D. On Estimating Optimal Performance of CPU Dynamic Thermal Management. IEEE Computer Architecture Letters 2,1 (2003), 6.
Dhar, S., Maksimovic, D., and Kranzen, B. ClosedLoop Adaptive Voltage Scaling Controller For Standard Cell ASICs. In Proceedings of The International Symposium on Low Power Electronics and Design (ISLPED) (2005), pp. 251-254.
Ernst, D., Kim, N. S., Das, S., Pant, S., Pham, T., Rao, R., Ziesler, C., Blaauw, D., Austin, T., and Mudge, T. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. In ACM/IEEE International Symposium on Microarchitecture (MICRO) (2003).
Fall, K., and Floyd, S. Simulation-based comparisons of Tahoe, Reno and SACK TCP. SIGCOMM Computer Communication Review 26,3 (1996), 5-21.
Fei, Y., Zhong, L., and Jha, N. K. An Energy-aware Framework for Coordinated Dynamic Software Management in Mobile Computers. In IEEE/ACM Int. Symp. on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (2004).
Flautner, K., and Mudge, T. Vertigo: Automatic Performance-Setting for Linux. In Proceedings of the 5th Symposium on Operating Systems Design and Implementation (OSDI) (Dec. 2002).
Fleischmann, M., Crusoe LongRun™ Power Management, Dynamic Power for Crusoe™ Processors, Transmeta Corporation, (Jan. 2001).
Gochman, S., and Ronen, R. The Intel Pentium M Processor: Microarchitecture and Performance. In Intel Technology Journal (2003).
Gupta, A., Lin, B., and Dinda, P. A. Measuring and Understanding User Comfort with Resource Borrowing. In Proceedings of the 13th IEEE International Symposium on High Performance Distributed Computing (HPDC 2004) (Jun. 2004).
Gurun, S., and Krintz, C. AutoDVS: an Automatic, General-purpose, Dynamic Clock Scheduling System for Handheld Devices. In EMSOFT '05: Proceedings of the 5th ACM international conference on Embedded software (2005), pp. 218-226.
Intel Corporation. Intel Pentium M Datasheet. http://developer.intel.com/design/mobile/pentium-m/documentation.htm.
Intel Corporation. Intel Pentium M Processor Thermal Management. http://www.intel.com/support/processors/mobile/pm/sb/CS-007971.htm.
Jaider, M. Notebook Hardware Control Personal Edition. http: //www.pbus-167.com/chc.htm/.
Lin, B., and Dinda, P. Putting the User in Direct Control of CPU Scheduling. Tech. Rep. NWU-EECS-06-07, Department of Electrical Engineering and Computer Science, Northwestern University, Aug. 2006.
Lin, B., Mallik, A., Dinda, P. A., Memik, G., and Dick, R. P. Power Reduction Through Measurement and Modeling of Users and CPUs: Summary. Department of Electrical Engineering and Computer Science, Northwestern University, SIGMETRICS'07 (Jun. 2007).
Liu, D., and Svensson, C. Trading Speed for Low Power by Choice of Supply and Threshold Voltages. In IEEE J. Solid-State Circuits (1993), vol. 28, pp. 10-17.
Lorch, J., and Smith, A. Using User Interface Event Information in Dynamic Voltage Scaling Algorithms. In Technical Report UCB/CSD-02-1190, Computer Science Division, EECS, University of California at Berkeley, Aug. 2002.
Mallik, A., Lin, B., Dinda, P., Memik, G., and Dick, R. Process and User Driven Dynamic Voltage and Frequency Scaling. Tech. Rep. NWU-EECS-06-11, Department of Electrical Engineering and Computer Science, Northwestern University, Aug. 2006.
Mallik, A., Lin, B., Memik, G., Dinda, P., and Dick, R. User-Driven Frequency Scaling. Department of Electrical Engineering and Computer Science, Northwestern University, Aug. 2006.
Microsoft Corporation. Performance Logs and Alerts overview. http://www.microsoft.com/windows/windows2000/en/advanced/help/sag—mpmonperf—02a.htm.
Ranganathan, P., Geelhoed, E., Manahan, M., and Nicholas, K. Energy-Aware User Interfaces and Energy-Adaptive Displays. Computer 39, 3 (2006), 31-38.
Rohou, E., and Smith, M. Dynamically Managing Processor Temperature and Power. In 2nd Workshop on Feedback Directed Optimization (Nov. 1999).
Skadron, K., Stan, M. R., Sankaranarayanan, K., Huang, W., Velusamy, S., and Tarjan, D. Temperatureaware Microarchitecture: Modeling and Implementation. ACM Trans. Archit. Code Optim. 1, 1 (2004), 94-125.
Srinivasan, J., Adve, S. V., Bose, P., and Rivers, J. A. The Case for Lifetime Reliability-Aware Microprocessors. In the International Symposium on Computer Architecture (ISCA) (2004).
Stevens, W. TCP Slow Start, Congestion Avoidance, Fast Retransmit and Fast Recovery Algorithms. In Internet RFC 2001 (1997).
Theocharous, G., Mannor, S., Shah, N., Gandhi, P., Kveton, B., Siddiqi, S., Yu, C-H., Machine Learning for Adaptive Power Management, Intel Technology Journal 10, 04 (Nov. 2006).
Transmeta Corporation. The Technology Behind the Crusoe Processor, (2000).
Waizman, A., and Chung, C. Resonant free Power Network Design using Extended Adaptive Voltage Positioning (EAVP) Methodology. IEEE Transactions on Advanced Packaging 24, 3 (Aug. 2001), 236-244.
Wang, Z., and Crowcroft, J. Eliminating Periodic Packet Losses in the 4.3-Tahoe BSD TCP Congestion Control Algorithm. In ACM Computer Communications Review (1992).
Wei, J., Foxton Technology Pushes Processor Frequency, Application Performance. http://www.intel.com/technology/magazine/computing/foxton-technology-0905.htm.
Wolfram Podien. CPUCool. http://www.cpufsb.de/CPUCOOL.HTM.
Wu, Q., Reddi, V., Wu, Y., Lee, J., Connors, D., Brooks, D., Martonosi, M., and Clark, D. W. Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. In 38th International Symposium on Microarchitecture (MICRO-38) (2005).
Xu, R., Moss, D., and Melhem, R. Minimizing Expected Energy in Real-time Embedded Systems. In Proceedings of the 5th ACM international conference on Embedded software (EMSOFT) (2005), pp. 251-254.
Yan, L., Zhong, L., and Jha, N. K. User-perceived Latency based Dynamic Voltage Scaling for Interactive Applications. In Proceedings of ACM/IEEE Design Automation Conference (DAC '05) (2005).

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Systems and methods for process and user driven dynamic... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Systems and methods for process and user driven dynamic..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Systems and methods for process and user driven dynamic... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2721507

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.