Method for the etchback of a conductive material

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S715000, C438S716000, C438S720000

Reexamination Certificate

active

06309977

ABSTRACT:

FIELD OF THE INVENTION
The present invention pertains to a method for forming interconnections on semiconductor integrated circuit chips. In particular, the present invention pertains to a method for the etchback of a conductive material during a semiconductor manufacturing process.
BRIEF DESCRIPTION OF THE BACKGROUND ART
Since the development of the first integrated circuit device, the technology of semiconductor fabrication has focused on minimizing the feature size of semiconductor devices. With the advancements made in processing technologies such as deposition, lithography, etching, and thermal treatment, the packing density of integrated circuit chips has greatly increased. A single chip manufactured using the present semiconductor fabrication technology may include millions or even billions of devices such as transistors and capacitors. To accomplish this, the feature size of integrated circuit devices has been scaled down to a submicron level.
When semiconductor devices are densely arranged on an integrated circuit chip, the conductive interconnections between such devices must be scaled down accordingly. All of the conductive pathways (typically, polysilicon or metal connections) between devices must be scaled down in width, without degrading the operating characteristics of the integrated circuit. When used to connect submicron size devices, the interconnections must provide defect-free contact between conducting and connecting members.
The interconnections on integrated circuit chips are generally constructed to have several layers of metal pathways. With the increased packing density of modern semiconductor chips, three or more layers of metal connections are commonly used for constructing the entire circuit. The connections between metal layers and underlying conductive regions of polysilicon are provided by means of conductive plugs.
FIG. 1
shows a semiconductor structure
100
which includes a semiconductor substrate
110
having a conductive region
112
to be electrically connected with other conductive regions in structure
100
. A dielectric layer
114
is formed over the substrate
110
and subsequently pattern etched to define a contact hole
115
therein. After the deposition of a conductive wetting layer
116
and a conductive diffusion barrier layer
118
, a filling layer
120
of a conductive material is deposited into the contact hole
115
for the purpose of forming a contact plug
122
, which provides an electrical connection to conductive region
112
.
As shown in
FIG. 2
, the filling layer
120
is then etched back so that the contact plug remains in the contact hole
115
for the purpose of making a conductive connection with an overlying metal layer deposited in a subsequent processing step. Ideally, the filling layer
120
is etched back until the portion of conductive material outside the contact hole
115
is removed and the portion inside the contact hole
115
remains (i.e., the top surface of the portion of the filling layer remaining within the contact hole is even with the top surface of the dielectric layer
114
).
A conventional method of filling a contact hole is to deposit a layer of metal over dielectric layer
114
and then to etchback the metal layer to create a “plug” in the hole. For example, and not by way of limitation, the metal may be tungsten, aluminum, aluminum-copper, aluminum-silicon-copper, or aluminum-silicon. Regardless of the conductive material used, it is difficult to control the etchback process so that the conductive residue is completely removed from the upper surface of the dielectric layer, while leaving the contact hole completely filled with conductive material. If the etchback process is performed until the conductive residues
210
(shown in
FIG. 2
) are completely removed, plug loss is found to result in a shortened contact plug
224
. By contrast, an etchback process without plug loss may result in a significant amount of residue remaining outside the contact hole. The remaining residues
210
may create undesired current leakage or short-circuiting problems in the final product.
In their paper entitled “Tungsten Etch Technology for Submicron Devices” (Advanced Metallization for ULSI Applications Proceedings of Conference, pp. 463-469, 1992), Ivo Miller et al. disclosed the above problem in a tungsten etchback process. Miller et al. describe that, in a blanket deposition/etchback scheme, the primary objective is to leave a via or contact completely filled with tungsten. However, the problem of microloading (a change in the local etch rate relative to the location of the material being etched on the substrate) is of paramount importance, and the paper presents detailed descriptions of a method for reducing the microloading effect. Extensive work was performed in an attempt to minimize the effect of microloading by introducing polymerizing chemistries at endpoint and by reducing etchant concentration. However, Miller et al. point out that these approaches also have an impact on system cleanliness and on wafer throughput. Miller et al. developed and characterized a fluorine-based tungsten etchback process for a single wafer plasma etch system. The effects of temperature on the microloading effect and on the etch selectivity toward tungsten relative to titanium nitride were evaluated and summarized. Although the mechanism was not discussed in detail, Miller et al. found that control of the processing temperature assists in controlling tungsten plug loss and tungsten: titanium nitride etch selectivity. Miller et al. proposed a method for controlling the microloading effect and the tungsten to titanium nitride etch selectivity using a reduced processing temperature, allowing optimum process latitude without excessive tungsten plug or titanium nitride barrier layer loss.
U.S. Pat. No. 5,641,710, issued Jun. 24, 1997, to Wang et al., discloses a tungsten etchback process with an accompanying annealing process. A post-reactive ion etch (RIE) anneal is performed in a nitrogen ambient to remove moisture from the surrounding dielectric layers of plugs and also to form a protective, nitrogen-containing tungsten layer to fill the crevice in the tungsten plug. However, Wang et al. does not address the plug loss problem in tungsten etchback processes.
SUMMARY OF THE INVENTION
The present invention relates to a method of reducing or eliminating the problem of plug loss during the etchback of a conductive plug, while simultaneously enabling the removal of residual conductive material present on adjacent surfaces after deposition of the conductive plug. The method leaves essentially undisturbed conductive material residing in a cavity within the substrate, while the conductive material is removed from the substrate surface (despite the presence of an opening to the cavity from the surface of the substrate).
A typical beginning structure for performing the method of the invention comprises a substrate containing a number of cavities, such as contact vias, and may also contain interconnect trenches. Blanket deposition of a conductive material over the substrate fills the cavities and leaves a continuous layer of conductive material over the substrate surface. The conductive material may be tungsten, or another metal such as aluminum-copper, aluminum-silicon-copper, or aluminum silicon, by way of example and not by way of limitation.
In a preferred embodiment method of the invention, the conductive material is tungsten. Typically, the tungsten is not deposited directly upon a dielectric layer surface. Rather, the dielectric layer surface, including openings and cavities within the dielectric layer, is first covered with a wetting layer, such as, for example, titanium, followed by a diffusion barrier layer, such as, for example, titanium nitride, followed by deposition of tungsten.
According to the method of the invention, a first, rapid etchback process is typically performed, using a fluorine-comprising etch techniques known in the art known to provide a good etch rate, for the purpose of removing most of the conductive

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method for the etchback of a conductive material does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method for the etchback of a conductive material, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method for the etchback of a conductive material will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2591538

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.