Pattern formation method

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S735000, C216S067000, C216S072000

Reexamination Certificate

active

06187688

ABSTRACT:

TECHNICAL FIELD
The present invention relates to a pattern formation method for forming a resist pattern composed of a resist film. More particularly, it relates to the technique of performing dry etching with respect to an organic bottom anti-reflective coating deposited under the resist film by using the resist pattern as a mask.
BACKGROUND ART
With the increasing miniaturization of a semiconductor integrated circuit element in recent years, exposing light with a shorter wavelength has been used in a lithographic step. At present, the use of a KrF excimer laser (with a wavelength of 248 nm) or the like is becoming prevalent.
As the wavelength of exposing light becomes shorter, the reflectivity of light reflected from a substrate after exposing a resist film becomes higher so that the reflected light from the substrate is more likely to cause variations in the size of a resist pattern. The variations in the size of the resist pattern are produced as follows. After exposing the resist film, the light is reflected from the substrate underlying the resist film and incident again on the resist film to re-expose the resist film including a portion which should not be exposed.
To prevent the reflected light from being incident on the resist film, there has recently been proposed a process wherein an organic anti-reflection coating (hereinafter simply referred to as ARC in tables and drawings) is formed under the resist film. The process is primarily used in the manufacturing of a semiconductor element in a high-performance device with design rules whereby a gate width is 0.25 &mgr;m or less.
Referring to FIGS.
1
(
a
) to
1
(
e
), a method of forming a resist pattern by using an organic bottom anti-reflective coating will be described.
First, as shown in FIG. (
1
a
), an organic bottom anti-reflective coating 12 is deposited on an underlying film 11 (such as a polysilicon film, a silicon oxide film, or a tungsten silicide film) to have a flat surface. Then, as shown in FIG.
1
(
b
), a resist film 13 composed of a positive resist is deposited on the organic bottom anti-reflective coating 12.
Next, as shown in FIG.
1
(
c
), selective exposure of the resist film 13 is performed by using a mask 14. Subsequently, developing treatment is performed with respect to the exposed resist film 13 to remove the exposed portion thereof, thereby forming a resist pattern 15 shown in FIG.
1
(
d
).
Next, as shown in FIG.
1
(
e
), dry etching is performed with respect to the organic bottom anti-reflective coating 12 masked with the resist pattern 15, thereby removing the portion of the organic bottom anti-reflective coating 12 uncovered with the resist pattern 15.
However, the pattern formation method using the organic bottom anti-reflective coating 12 as described above presents problems during dry etching performed with respect to the organic bottom anti-reflective coating 12, which are easily produced variations in the size of the organic bottom anti-reflective coating 12, low selectivity of the organic bottom anti-reflective coating 12 to the underlying film 11, and an increased number of particles generated in a reaction chamber in which etching is performed.
As for the problem of easily produced variations in the size of the organic bottom anti-reflective coating 12, the cause thereof may be simultaneous etching of the resist pattern 15 with the etching of the organic bottom anti-reflective coating 12 since the resist pattern 15 is made of a carbon-based material, similarly to the organic bottom anti-reflective coating 12.
As for the problem of an increased number of particles generated in the reaction chamber, the cause thereof may be particles generated from the organic bottom anti-reflective coating 12 during the etching of the organic bottom anti-reflective coating 12.
To solve each of the above problems, there has been proposed the use of HBr/O
2
-based gas and N
2
/O
2
-based gas as etching gas for the organic bottom anti-reflective coating 12.
On the other hand, it has recently been reported that the use of Cl
2
/O
2
-based gas as etching gas for the organic bottom anti-reflective coating 12 improves size controllability and maximizes the selectivity to a polysilicon film as the underlying film (NEC: Nishizawa et al., the 57th Applied Physics Scientific Lecture Meeting (Autumn 1996), No.2 p.483,7a-T-1). It has also been reported that the use of CO/N
2
/O
2
-based gas as etching gas for the organic bottom anti-reflective coating 12 improves the selectivity to the resist film (LGSemicon: Jeon et al., the 57th Applied Physics Scientific Lecture Meeting (Autumn 1996), No.2 p.522, 8a-T-7).
(Problem Caused by Etching Using Cl
2
/O
2
-Based Gas)
The present inventors performed dry etching with respect to the organic bottom anti-reflective coating 12 by using Cl
2
/O
2
-based gas and encountered another problem, which will be described below.
A description will be given to a method of dry etching performed with respect to an organic bottom anti-reflective coating by using Cl
2
/O
2
-based gas. Although a dry-etching apparatus can be selected from various etching apparatus, the description will be given to the case where dry etching was performed by using a first etching apparatus shown in FIG.
2
.
The first etching apparatus comprises a grounded chamber 21 having an inner wall covered with an insulator such as ceramic, alumina, or quartz.
An inner circumferential wall of the chamber 21 is provided with a first lateral electrode 22A, a second lateral electrode 22B, and a third lateral electrode 22C, which are equally spaced therearound. The first, second, and third lateral electrodes 22A, 22B, and 22C receive respective supplies of high-frequency power of 54.24 MHz from a first high-frequency power source 23A, a second high-frequency power source 23B, and a third high-frequency power source 23C via a matching circuit not shown. The supplies of high-frequency power are equal in discharge power but progressively shifted in phase by approximately 120°. Specifically, the phase of high-frequency power supplied to the second lateral electrode 22B is 120° leading the phase of high-frequency power supplied to the first lateral electrode 22A and the phase of high-frequency power supplied to the third lateral electrode 22C is 120° lagging behind the phase of high-frequency power supplied to the first lateral electrode 22A. It is to be noted that a phase shifter not shown produces a 120° phase shift between the supplies of high-frequency power from each adjacent two of the first to third high-frequency power sources 23A to 23C.
An earth electrode 24 is disposed on the inner bottom portion of the chamber 21. A sample stage 25 serving as a lower electrode for holding a substrate is provided on the earth electrode 24. A bias high-frequency voltage is applied from a fourth high-frequency power source 26 to the sample stage 25.
The chamber 21 is provided with a gas inlet for introducing etching gas into the chamber 21 via a mass flow controller and with a turbo pump for adjusting pressure in the chamber 21 to be about 0.1 to 10 Pa, though they are not shown in the drawings.
A description will be given below to a method of dry etching performed with respect to the organic bottom anti-reflective coating by using the first etching apparatus with reference to FIGS.
4
(
a
) and
4
(
b
).
First, as shown in FIG.
4
(
a
), a silicon oxide film 42 is thermally grown on a silicon wafer 41, followed by a polysilicon film 43 deposited as an underlying film on the thermally grown silicon oxide film 42. Thereafter, an organic bottom anti-reflective coating 44 with a film thickness of 150 nm and a resist film are deposited sequentially on the polysilicon film 43. Then, etching is performed with respect to the resist film to form a resist pattern 45 composed of the resist film.
Next, as shown in FIG.
4
(
b
), dry etching is performed with respect to the organic bottom anti-reflective coating 44 masked with the resist pattern 45. Conditions for the dry-etching process are as shown in Table 1.
In Table 1, LEP (Lissajous

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Pattern formation method does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Pattern formation method, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Pattern formation method will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2569130

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.