Wafer processing apparatus and wafer processing method using...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S737000, C438S974000

Reexamination Certificate

active

06586340

ABSTRACT:

RELATED APPLICATION
This application claims the benefit of Korean Patent Application No. 2001-12901, filed Mar. 13, 2001, the disclosure of which is hereby incorporated herein by reference in its entirety as if set forth fully herein.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a wafer processing apparatus and a wafer processing method using the same, and more particularly, to a wafer processing apparatus which can be used to form metal wiring layers having a multi-layered structure and a wafer processing method using the same.
2. Description of the Related Art
As the integration density of semiconductor devices increases, it is necessary to introduce metal wiring layers having a multi-layered structure into circuits. Because metal wiring layers transmit electrical signals, it is advantageous to use an economical material for the metal wiring layers which has low electrical resistance and high reliability. To meet these demands, aluminum is widely used for the material of the metal wiring layers. It is also advantageous to electrically connect such aluminum wiring layers in a way that is reliable, economical, and has low electrical resistance. Metal wiring layers are typically connected by a contact hole, which is a contact between a lower device and an upper wiring layer, or a via hole, which is a contact between a lower metal wiring layer and an upper aluminum wiring layer. Aluminum is preferably used as the metal to fill a contact hole or a via hole because it is economical and has superior conductivity.
To obtain superior electrical characteristics and filling characteristics when filling a contact hole or a via hole with aluminum, a variety of processing techniques have been developed. The processes for filling a contact hole or a via hole typically include steps such as chemical vapor deposition (CVD), physical vapor deposition (PVD), heat treatment, an oxidation process, and an etching process. Various cluster tool type wafer processing apparatuses have been developed to perform the steps for filling a contact hole or via hole.
However, a conventional integrated cluster tool type wafer processing apparatus typically does not have every facility required for performing all the processes for filling a contact hole or a via hole on a wafer. Accordingly, a vacuum break inevitably occurs during the contact hole or via hole filling processes. If a wafer is exposed to the atmosphere during the processes for filling a contact hole or a via hole, the exposed surface of the wafer may be contaminated by air, water vapor, or particles in the air, which may adversely affect the performance and yield of the resulting semiconductor device. In addition, the distance the wafer moves is increased significantly because the wafer is moved into a processing equipment or processing atmosphere which is not installed in the wafer processing apparatus during the contact hole or via hole filling process and through put is decreased.
SUMMARY OF THE INVENTION
According to certain embodiments of the invention, a wafer processing apparatus includes: a transfer chamber which is exhaustible and has a plurality of gate valves; a plurality of vacuum processing chambers, each of which is connectable to the transfer chamber via one of the gate valves; and a load lock chamber which is exhaustible and is connectable to a first gas feed line for feeding an oxygen-based gas into the load lock chamber.
In some embodiments, a second gas feed line for feeding an inert gas into the load lock chamber is connectable to the load lock chamber.
The plurality of vacuum processing chambers may include a chemical vapor deposition chamber, a physical vapor deposition chamber, and a heat treatment chamber.
The heat treatment chamber may include a pedestal which can be raised and lowered and has a supporting surface for supporting a wafer. A cover is installed above the pedestal so that a predetermined space between the supporting surface and the cover can be adjusted by raising and lowering the pedestal. A heating apparatus for heating the wafer is installed at the pedestal and the cover.
The plurality of vacuum processing chambers may include a Ti/TiN layer exclusive chamber for forming a Ti layer, a TiN layer, or a mixed layer of Ti and TiN. The plurality of vacuum processing chambers may include an etching chamber. The etching chamber may be a plasma etching chamber using a radio frequency power source. Alternatively, the etching chamber may be an electron cyclotron resonance etching chamber.
In certain embodiments, a wafer processing apparatus according to the invention includes an oxygen atmosphere chamber which can be connected to the transfer chamber via one of the gate valves. In some embodiments, the oxygen atmosphere chamber includes a third gas feed line for feeding an oxygen-based gas into the oxygen atmosphere chamber and a fourth gas feed line for feeding an inert gas into the oxygen atmosphere chamber.
The wafer processing apparatus according to the invention may further include: a degas chamber which is situated between the load lock chamber and the transfer chamber and is used for preheating a wafer received from the load lock chamber and for outgassing; and a cooling chamber which is situated between the load lock chamber and the transfer chamber and is used for cooling the wafer received from the transfer chamber.
According to embodiments of the invention, a wafer processing apparatus includes: a transfer chamber which is exhaustible and has a plurality of gate valves; a plurality of vacuum processing chambers, each of which is connected to the transfer chamber via one of the gate valves; an oxygen atmosphere chamber which can be connected to the transfer chamber via one of the gate valves and is connectable to a first gas feed line for feeding an oxygen-based gas into the oxygen atmosphere chamber; and a load lock chamber which is exhaustible.
According to embodiments of the invention, a transfer chamber is connected to a plurality of processing chambers via a plurality of gate valves. A load lock chamber is connected to the transfer chamber, and a first gas feed line is connected to the load lock chamber for feeding an oxygen-based gas to the load lock chamber. A predetermined layer is formed in one of the plurality of vacuum processing chambers. The predetermined layer is oxidized on the wafer in the load lock chamber. The load lock chamber and the transfer chamber are exhaustible.
The step of oxidizing the predetermined layer on the wafer may be performed in an oxygen-based gas atmosphere including at least one of oxygen (O
2
), ozone (O
3
), and dinitrogen monoxide (N
2
O). The step of oxidizing the predetermined layer on the wafer may be performed in a mixed gas atmosphere of an inert gas and an oxygen-based gas including at least one of oxygen (O
2
), ozone (O
3
), and dinitrogen monoxide (N
2
O). The step of oxidizing the predetermined layer on the wafer may be performed at a temperature between about room temperature and about 200° C.
According to embodiments of the invention, a first layer is formed on a predetermined portion of the wafer to define a contact hole or via hole region before the step of forming the predetermined layer, and the predetermined layer is formed on the first layer such that the predetermined layer does not cover the contact hole region.
According to embodiments of the invention, a transfer chamber is connected to a plurality of vacuum processing chambers via a plurality of gate valves. An oxygen atmosphere chamber is connected to the transfer chamber via one of the plurality of gate valves. A first gas feed line to the oxygen atmosphere chamber for feeding an oxygen-based gas into the oxygen atmosphere chamber. A load lock chamber is connected to the transfer chamber for facilitating the transfer of a wafer to and from the transfer chamber. The transfer chamber and the load lock chamber is exhaustible.
According to certain embodiments of the invention, exposure to the atmosphere during processing and during the formation of me

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Wafer processing apparatus and wafer processing method using... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Wafer processing apparatus and wafer processing method using..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Wafer processing apparatus and wafer processing method using... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3032413

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.