Voltage change reflecting delay calculation method, and...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

10345989

ABSTRACT:
A method for designing a semiconductor integrated circuit is proposed. The semiconductor integrated circuit includes power supply terminals each formed out of an area bump and signal terminals. Distance from the logic cell or the module to a power supply area bump closest thereto is obtained for the logic cell or the module. Further, a power supply voltage which is estimated to be actually applied to the logic cell or the module is obtained based on the obtained distance and a power supply voltage applied to the power supply area bump. Finally, a delay is calculated based on the estimated power supply voltage.

REFERENCES:
patent: 2004/0153985 (2004-08-01), Paul et al.
patent: 2000-99554 (2000-04-01), None
I-Min Liu et al, Integrated Power Supply Planning and Floorplanning, Proceedings of the ASP-DAC 2001, pp. 589-594, Jan. 30, 2001.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Voltage change reflecting delay calculation method, and... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Voltage change reflecting delay calculation method, and..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Voltage change reflecting delay calculation method, and... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3821923

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.