Unrolling hardware design generate statements in a source...

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C716S030000

Reexamination Certificate

active

07823097

ABSTRACT:
Unrolling the “generate” statement of a hardware description language (“HDL”) and displaying the unrolled HDL. For a conditional generate the condition is evaluated. If the statement is true the enclosed HDL code will be displayed. For an iterative generate, the enclosing HDL will be displayed as many times as specified by the bounds of the iteration scheme. This allows, for example, simulation value annotations for signals declared inside the generate statement, semantic navigation inside the generate statements, and allows the user to visualize what is included in the target design.

REFERENCES:
patent: 6594802 (2003-07-01), Ricchetti et al.
patent: 6817007 (2004-11-01), Burnette et al.
patent: 6823497 (2004-11-01), Schubert et al.
patent: 6874140 (2005-03-01), Shupak
patent: 2004/0015915 (2004-01-01), Lam et al.
patent: 2004/0163072 (2004-08-01), Levy
patent: 2007/0294651 (2007-12-01), Tsai et al.
Fallah et al., “Functional Vector Generation for Sequential HDL Models Under an Observability-Based Code Coverage Metric,” IEEE, Jan 7, 2002, pp. 919-923.
Yu-Chin Hsu, Bassam Tabbarra, Yirng-An Chen, Furshing Tsai, Advanced techniques for RTL Debugging, DAC 2003, Jun. 2-6, 2003.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Unrolling hardware design generate statements in a source... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Unrolling hardware design generate statements in a source..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Unrolling hardware design generate statements in a source... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4193313

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.