Tunable vapor deposited materials as antireflective...

Radiation imagery chemistry: process – composition – or product th – Imaging affecting physical property of radiation sensitive... – Radiation sensitive composition or product or process of making

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C430S272100, C430S313000, C430S325000, C430S005000, C438S712000, C438S780000, C438S789000, C427S248100, C427S255600

Reexamination Certificate

active

06514667

ABSTRACT:

FIELD OF THE INVENTION
The present invention is directed to structures and methods useful for fabricating integrated circuits (IC), in particular structures having a plurality of layers. More particularly, the present invention is directed to tunable vapor deposited silicon, germanium, boron, tin, iron or, titanium and combinations thereof containing materials which function as antireflective coatings, as hardmasks and as combined antireflective coating/hardmasks for high resolution lithography. These materials and structures thereof can be applied to optical lithography (248 nm, 193 nm, 157, 126 nm), extreme ultraviolet lithography (EUV), electron beam (e-beam) lithography, ion beam and x-ray lithography.
BACKGROUND OF THE INVENTION
The need to remain cost and performance competitive in the production of semiconductor devices has driven the industry to a continuing increase in device density with a concomittant decrease in device geometry. To facilitate the shrinking device dimensions, new lithographic materials, processes and tools are being considered. Currently, 248 nm lithography is being pursued to print sub-200 nm features. To do this, tools with higher numerical aperture (NA) are emerging. The higher NA allows for improved resolution but reduces the depth of focus of aerial images projected onto the resist. Because of the reduced depth of focus, a thinner resist will be required. As the thickness of the resist is decreased, the resist becomes less effective as a mask for subsequent dry etch image transfer to the underlying substrate, i.e. most if not all of the resist is etched away during the subsequent pattern transfer process. Without significant improvement in the etch selectivity exhibited by current single layer resists (SLR), these systems can not provide the necessary lithographic and etch properties for high resolution lithography.
Another problem with single layer resist systems is critical dimension (CD) control. Substrate reflections at ultraviolet (UV) and deep ultraviolet (DUV) wavelengths are notorious to produce standing wave effects and resist notching which severely limit CD control of single layer resists. Notching results from substrate topography and nonuniform substrate reflectivity which causes local variations in exposure energy on the resist. Standing waves are thin film interference (TFI) or periodic variations of light intensity through the resist thickness. These light variations are introduced because planarization of the resist presents different thickness through the underlying topography. Thin film interference plays a dominant role in CD control of single layer photoresist processes, causing large changes in the effective exposure dose due to a tiny change in optical phase. Thin film interference effects are described in “Optimization of optical properties of resist processes” (T. Brunner, SPIE Proceedings Vol. 1466, p. 297, 1991), the teaching of which is incorporated herein by reference.
Bottom anti-reflective coatings or BARCs have been used with single layer resists to reduce thin film interference. However, these thin absorbing BARCs have fundamental limitations. These materials are generally spin applied. The thickness of the BARC and the resist can not be controlled to the accuracy required to operate at the target thickness to achieve minimum reflectance. The resist thickness may also vary due to existing topography. Thin underlying films such as silicon nitride or silicon oxide tend to exhibit some thickness nonuniformity after deposition. The thin BARC will generally not effectively planarize this thin underlying films. Thus, as a result there will be a variation in exposure energy into the resist. Current trends to reduce topography via chemical/mechanical polishing still leave significant variations in film thickness over topography.
Vapor deposited, such as plasma enhanced chemical vapor deposited (PECVD) BARCs, are currently being investigated. We consider an example with a carbon ARC deposited by PECVD process.
FIG. 1
represents a swing curve comparison of BARC/single layer resist process (a) and a RCHX ARC/Hardmask process (b) in which a thin resist on the order of 3400A is applied on top of a thick RCHX hardmask layer (swing curve is a plot of reflectance versus resist thickness. Swing ratio is defined as the ratio of peak to valley change to the average value in the swing curve). In the R:C:H:X formula R is selected from Si, Ge,B, Sn, Fe, Ti and mixtures of these elements and X is selected from O, N, S, F and mixtures of these elements and X is optionally present. The results in
FIG. 1
are obtained by simulations on two substrates, silicon and SiO
2
. Reflectance at 248 nm as a function of resist thickness is computed at the resist/air interface. The simulated structure of the single layer resist (
FIG. 1
a
solid line) includes a Si substrate, 900A thick bottom ARC with n=1.9 and k=0.42 at 248 nm and photoresist with n=1.8 and k=0.02 at 248 nm. The optical constants of the bottom ARC are typical of PECVD ARCs.
FIG. 1
a
shows that by using 900A thick bottom ARC with n=1.9 and k=0.42 about 2% swing ratio can be achieved on a silicon substrate.
Similarly, a simulated bilayer structure on silicon and on a SiO2 layer is shown in
FIG. 1
b.
This structure includes a Si substrate, a 4100A thick RCHX ARC-hard mask layer with n=1.78 and k=0.22 at 248 nm and a thin DUV resist with n=1.78 and k=0.01 at 248 nm. Swing ratio of less than 4% similar to the thin ARC process was obtained for the ARC-hard mask structure on a Si substrate (
FIG. 1
b
solid line). To demonstrate the dependence of the ARC on underlying topography, 500A of SiO2 was deposited on the silicon substrate (
FIG. 1
a
and
FIG. 1
b
dotted lines). As can be seen in
FIG. 1
a,
the single layer resist structure is very dependent on the underlying substrate reflectivity and topography, whereas, the hardmask/thin resist structure was independent of underlying topography. A large variation in reflectance, about 18% swing ratio, which directly translates to CD variation was observed for the SLR structure with an oxide underlayer (
FIG. 1
a
dotted line). This reflection variation directly corresponds to CD variation, and it's about 18% as a function of the resist thickness and does not meet +/−10% linewidth control criterion even on single layer substrate. In contrast, almost no reflectance variation was observed for hardmask thin resist (
FIG. 1
b
dotted line). Swing ratio of less than 4% was obtained for the simulated hardmask thin resist structure independently from the underlying substrate reflectivity. The entire range of the data easily fits within the +/−10% linewidth control criterion. So from an optical point of view, thick ARC-hard mask structure appeared to be more beneficial compared to SLR structure.
Plasma etching is used to transfer the sub-0.25 micron features developed in the SLR into the underlying oxide, nitride or polysilicon layers. Before the pattern is transferred to the underlying substrate, it must first be transferred through the BARC which is used to reduce thin film interference. A typical etch chemistry for the BARC open is composed of N2/O2/Fluorocarbon plasma. The etch selectivity between resist and BARC are at best 1:1. Assuming a 900 A thick BARC layer, this translates to a SLR loss of 900 A. Thus, significant resist loss occurs during the BARC open.
The combined SLR plus BARC system must stand as a mask for the subsequent underlayer etch. Small etch rates, minimal profile distortion (e.g. faceting) and little resist surface microroughening are desirable attributes for the mask material. Moreover, during the BARC open, SLRs develop striations which translate down into the underlayer and appear as “scallops”. Scalloping or microcrevasing of the underlying oxide, nitride or Si materials cause undesirable effects e.g. degrades resolution of contacts and distorts device performance, lowers charge carrier mobilities due to scattering.
T

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Tunable vapor deposited materials as antireflective... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Tunable vapor deposited materials as antireflective..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Tunable vapor deposited materials as antireflective... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3183152

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.