Thermally aware design modification

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

07823102

ABSTRACT:
In a first variation, a thermally aware design automation suite integrates system-level thermal awareness into design of semiconductor chips, performing fine-grain thermal simulations of the chips based on thermal models and boundary conditions. The suite uses results of the simulations to modify thermally significant structures to achieve desired thermal variations across a chip, meet design assertions on selected portions of the chip, and verify overall performance and reliability of the chip over designated operating ranges and manufacturing variations. In a second variation, a discretization approach models chip temperature distributions using heuristics to adaptively grid space in three dimensions. Adaptive and locally variable grid spacing techniques are used to efficiently and accurately converge for steady state and/or transient temperature solutions. The modeling optionally reads a mesh initialization file specifying selected aspects and parameters associated with controlling use and behavior of the variable grid spacing techniques.

REFERENCES:
patent: 4696578 (1987-09-01), Mansuria et al.
patent: 5654904 (1997-08-01), Thakur
patent: 5710068 (1998-01-01), Hill
patent: 5831249 (1998-11-01), Rohner et al.
patent: 5838578 (1998-11-01), Pippin
patent: 5927853 (1999-07-01), Christiaens et al.
patent: 5997174 (1999-12-01), Wyland
patent: 6124635 (2000-09-01), Kuwabara et al.
patent: 6172337 (2001-01-01), Johnsgard et al.
patent: 6203191 (2001-03-01), Mongan
patent: 6247161 (2001-06-01), Lambrecht et al.
patent: 6320201 (2001-11-01), Corbett et al.
patent: 6334013 (2001-12-01), Laming et al.
patent: 6389582 (2002-05-01), Valainis et al.
patent: 6505326 (2003-01-01), Farral et al.
patent: 6532570 (2003-03-01), Mau
patent: 6591399 (2003-07-01), Wyrzykowska et al.
patent: 6591402 (2003-07-01), Chandra et al.
patent: 6634013 (2003-10-01), Shinzawa
patent: 6662345 (2003-12-01), Uchida et al.
patent: 6751781 (2004-06-01), Lin et al.
patent: 6769102 (2004-07-01), Frank et al.
patent: 6910812 (2005-06-01), Pommer et al.
patent: 6931369 (2005-08-01), Perry et al.
patent: 6993742 (2006-01-01), Fryer et al.
patent: 7025280 (2006-04-01), Kaushal et al.
patent: 7039888 (2006-05-01), Steinmann et al.
patent: 7096450 (2006-08-01), Gill et al.
patent: 7162402 (2007-01-01), Daems et al.
patent: 7171346 (2007-01-01), Recker et al.
patent: 7191112 (2007-03-01), Demler et al.
patent: 7191413 (2007-03-01), Chandra et al.
patent: 7194711 (2007-03-01), Chandra
patent: 7203920 (2007-04-01), Chandra
patent: 7263477 (2007-08-01), Chen et al.
patent: 7353471 (2008-04-01), Chandra et al.
patent: 7383520 (2008-06-01), Chandra
patent: 7401304 (2008-07-01), Li et al.
patent: 2001/0032330 (2001-10-01), Kusunoki
patent: 2002/0050833 (2002-05-01), Jones et al.
patent: 2003/0145296 (2003-07-01), Chandra et al.
patent: 2003/0226122 (2003-12-01), Hathaway et al.
patent: 2005/0044515 (2005-02-01), Acar et al.
patent: 2005/0058178 (2005-03-01), Shih et al.
patent: 2005/0138581 (2005-06-01), Usui
patent: 2005/0149886 (2005-07-01), Kaushal et al.
patent: 2005/0155004 (2005-07-01), Miura et al.
patent: 2005/0166166 (2005-07-01), Chandra et al.
patent: 2005/0166168 (2005-07-01), Chandra
patent: 2005/0210425 (2005-09-01), Keller et al.
patent: 2006/0031794 (2006-02-01), Li et al.
patent: 2007/0120239 (2007-05-01), Chandra
patent: 2007/0157137 (2007-07-01), Chandra
patent: 2007/019531 (2007-02-01), None
patent: 2007/019531 (2007-02-01), None
patent: 2007/070879 (2007-06-01), None
Ting-Yuan Wang et al., 3D Thermal-ADI—An Efficient Chip-Level Transient Thermal Simulator, ISPD'03, Apr. 6-9, 2003, Monterey, California, 8 pages.
Ting-Yuan Wang et al., Thermal-ADI—A Linear-Time Chip-Level Dynamic Thermal-Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) Method, IEEE Transactions on Very Large Scale Integration (VLSI) systems, vol. 11, No. 4, Aug. 2003, pp. 691-700.
Ting-Yuan Wang et al., 3D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, No. 12, Dec. 2002, pp. 1434-1445.
Ting-Yuan Wang et al., Thermal-ADI: A Linear-Time Chip-Level Dynamic Thermal Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) Method, ISPD'01, Apr. 1-4, 2001, Sonoma, California, 6 pages.
“Design Flow”, Carleton University, Copyright John Knight, Revised; Sep. 30, 2003, pp. 1-22.
“Stratix II High-Speed Development Board”, Data Sheet, Altera Corporation, Sep. 2004, ver.1.0, pp. 1-85.
Szekely V. et al.: “A thermal benchmark chip: design and applications”, Part A, IEEE Transactions on Components, Packaging, and Manufacturing Technology, vol. 21, No. 3, Sep. 1998, pp. 399-405.
Hang L. et al.: “Efficient thermal stimulation for run-time temperature tracking and management”, Computer Design: VLSI in Computers and Processors, ICCD 2005 Proceedings, IEEE International Conference Oct. 2-5, 2005, 4 pages.
International Search Report and Written Opinion for PCT/US2006/062184; mailed May 7, 2007; 11 pages.
Yonghong Yang et al.; Adaptive Chip-Package Thermal Analysis for Synthesis and Design; Design, Automation and Test in Europe, 2006. Date '06. Proceedings; Mar. 6-10, 2006; 6 pgs.
Yonghong Yang et al.; Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design; Computer-Aided Design, ICCAD '06. IEEE/ACM International Conference, Nov. 2006; pp. 575-582.
Table of Jul. 22, 2009 identifying references used to reject claims in related applications; 1 page.
Kaustav Banerjee et al., High-Current Failure Model for VLSI Interconnects Under Short-Pulse Stress Conditions, IEEE Electron Device Letters, vol. 18, No. 9, Sep. 1997, pp. 405-407.
Kaustav Banerjee et al., The Effect of Interconnect Scaling and Low-k Dielectric on the Thermal Characteristics of the IC Metal, Electron Devices Meeting, 1996, International, Dec. 8-11, 1996, San Francisco, CA, USA, pp. 65-68.
Wei Huang et al., 51.3 Compact Thermal Modeling for Temperature-Aware Design, HPLP and LAVA labs, Departments of ECE and CS, University of Virginia, Jun. 10, 2004, 27 pgs.
Wei Huang et al., Compact Thermal Modeling for Temperature-Aware Design, DAC 2004 Jun. 7-11, 2004, San Diego, California, USA, pp. 878-883.
Amir H. Ajami et al., Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion, Presentation Outline, ICCAD '01, Nov. 5, 2001, 29 slides on 5 pgs.
Amir H. Ajami et al., Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion, ICCAD '01, Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, Nov. 5, 2001, San Jose, California, pp. 44-48.
Amir H. Ajami et al., Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance Ics, DAC'01, Jun. 18-22, 2001, Las Vegas, Nevada, USA, 6 pgs.
Kaustav Banerjee et al., Analysis and Optimization of Thermal Issues in High-Performance VLSI, ISPD'01, Apr. 1-4, 2001, Sonoma, California, USA, pp. 230-237.
Kaustav Banerjee et al., Analysis and Optimization of Thermal Issues in High Performance VLSI, Presentation Outline, ISPD 2001, 57 slides on 10 pgs.
Amir H. Ajami et al., Non-Uniform Chip-Temperature Dependent Signal Integrity, Digest of Technical Papers, 2001 Symposium on VLSI Technology, Jun. 12-14, 2001, Kyoto, Japan, 2 pgs.
Amir H. Ajami et al., Effects of Non-uniform Substrate Temperature on the Clock Signal Integrity in High Performance Designs, IEEE Conference on Custom Integrated Circuits, San Diego, CA, USA, May 6-9, 2001, 4 pgs.
Amir H. Ajami et al., Effects of Non-Uniform Substrate Temperature on the Clock Signal Integrity in High Performance Designs, Presentation Outline, CICC'01, May 8, 2001, 26 slides on 5 pgs.
Ting-Yuan Wang et al., Thermal-ADI—A Linear-Time Chip-Level Dynamic Thermal-Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) Method, Poster, University of Wisconsin, 2003, 1 pg.
Ting-Yuan Wang et al., SPICE-Compatible Thermal Simulation with Lumped Circuit Modeling for Thermal Reliability Analysis ba

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Thermally aware design modification does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Thermally aware design modification, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Thermally aware design modification will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4236816

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.