Register retiming technique

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C716S030000

Reexamination Certificate

active

07120883

ABSTRACT:
An electronic automation system performs register retiming on a logic design, which may be a logic design for a programmable logic integrated circuit. Register retiming is a moving or rearranging of registers across combinatorial logic in a design in order to improve a maximum operating frequency or fmax. In one implementation, the system includes machine-readable code, which may be stored on a computer-readable medium such as a disk, executing on a computer. The system balances timing in order to trade off delays between critical and noncritical paths. Register retiming may make changes to a design at a gate level.

REFERENCES:
patent: 5822217 (1998-10-01), Shenoy
patent: 5838954 (1998-11-01), Trimberger
patent: 2003/0126580 (2003-07-01), Kurokawa et al.
patent: 2003/0182638 (2003-09-01), Gupta et al.
patent: 2004/0225970 (2004-11-01), Oktem
J. Cong, H. Li and C. Wu. Simultaneous Circuit Partitioning/Clustering with Retiming for Performance Optimization. In Proc.Design Automation Conference(DAC), pp. 460-465, 1999.
K Eckl, J.C. Madre, P. Zepter and C. Legl. “A Practical Approach to Multiple-Class Retiming”. In Proc.Design Automation Conference(DAC), 1999.
G. Even, I.Y. Spillinger and L. Stock. “Retiming Revisited and Reversed.”IEEE Trans. on Computer Aided Design of Integrated Circuits and Systems, vol. 15, No. 3, pp. 348-357, 1996.
C.E. Leiserson and J.B. Saxe. “Retiming Synchronous Circuitry”.Algorithmica, 1991.
P. Pan. “Performance-Driven Integration of Retiming and Resynthesis”. In Proc.Design Automation Conference(DAC), pp. 247-252, 1999.
N. Shenoy and R. Rudell. “Efficient Implementation of Retiming”. In Proc.Int'l Conference on Computer-Aided Design(ICCAD). 1994.
J. Cong, Y.Y. Hwang. “Structural Gate-Decomposition for Depth-Optimal Technology Mapping in LUT-Based FPGA Design.” In Proc. Design Automation Conference (DAC). 1996.
J. Cong, S.K. Lim and C. Wu. “Performance Driven Multi-level and Multiway Partitioning with Retiming”, in Proc. Design Automation Conference (DAC), pp. 274-279, 2000.
J. Cong and S.K. Lim. “Physical Planning with Retiming.” In Proc. Int'l Conference on Computer-Aided Design (ICCAD), pp. 2-7, 2000.
J. Cong and C. Wu. “An Efficient Algorithm for Performance Optimal FPGA Technology Mapping with Retiming”. IEEE Transactions on Computer Aided Design of Circuits and Systems, vol. 17, No. 9, pp. 738-748, 1998.
J. Cong and C. Wu. “Optimal FPGA Mapping and Retiming with Efficient Initial State Computation”., IEEE Trans. on Computer Aided Design of Integrated Circuits and Systems, vol. 18, No. 11, pp. 1595-1607, 1999.
C. Legl, P. Vanbekbergen, A. Wang. “Retiming of Edge-Triggered Circuits with Multiple Clocks and Load Enables”. In Proc. Int'l workshop on Logic Synthesis (IWLS), 1997.
D. Lewis et al. “The Stratix Routing and Logic Architecture”. Submitted to FPGA 2003.
N. Maheshwari and S. Sapatnekar. “Efficient Retiming of Large Circuits.” IEEE Transactions on VLSI Systems, vol. 6, No. 1, pp. 74-83, 1998.
N. Maheshwari and S. Sapatnekar. “Minimum Area Retiming with Equivalent Initial States.” In Proc. Int'l Conference on Computer-Aided Design (ICCAD). 1997.
P. Pan and C.C. Lin. A New Retiming-Based Technology Mapping Algorithm for LUT-Based FPGAs. In Proc. ACM/IEEE Int'l Conference on FPGAs (FPGA), 1998.
P. Pan and C.L. Liu. “Optimal Clock Period FPGA Technology Mapping for Sequential Circuits:” ACM Transactions on Design Automation of Electronic Systems, vol. 3, No. 3, 1998.
H.J. Touati and R.K. Brayton. “Computing the Initial States of Retimed Circuits”, IEEE Trans. on Computer-Aided Design, vol. 12, No. 1, pp. 157-162, 1993.
D.P. Singh, S.D. Brown. “Integrated Retiming and Placement for Field Programmable Gate Arrays.” In Proc. ACM/IEEE Int'l Conference on FPGAs (FPGA), 2001.
H Zhou, V. Singhal and A. Aziz. “How powerful is retiming?” In Proc. IEEE/ACM Int'l Workshop on Logic Synthesis (IWLS), 1998.
Klaus Eckl, et al.; A Practical Approach to Multiple-Class Retiming; Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361); Jun. 21-25, 2005; p. 237-42; IEEE, Piscataway, NJ, USA.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Register retiming technique does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Register retiming technique, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Register retiming technique will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3615540

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.