Process chamber having multiple gas distributors and method

Coating apparatus – Gas or vapor deposition – Work support

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C118S715000, C118S726000, C118S7230AN, C156S345330, C156S345510

Reexamination Certificate

active

06676760

ABSTRACT:

BACKGROUND
Embodiments of the present invention relate to a substrate processing chamber for processing a substrate.
In the fabrication of electronic circuits, such as integrated circuits and flat panel displays, materials such as semiconductor, dielectric and conductor materials, for example, polysilicon, silicon dioxide, and metal containing materials, respectively, are formed on a substrate. Some of these materials are deposited by chemical vapor deposition (CVD) or physical vapor deposition (PVD) processes, and others may be formed by oxidation or nitridation of substrate materials. For example, in chemical vapor deposition processes, a deposition gas is introduced into the chamber and energized by heat and/or RF energy to deposit a film on the substrate. In physical vapor deposition, a target of sputtering material is sputtered to deposit a layer of the target material on the substrate. In etching processes, a patterned mask comprising a photoresist or hard mask material, is formed on the substrate surface by lithography, and the portions of the substrate surface that are exposed between the mask features are etched by an energized gas, such as a halogen-containing or oxygen-containing gas. Such deposition, etching, and planarization processes, are conducted in a sequence, to process the substrate to fabricate integrated circuits and other electronic devices.
In such processing methods, the flow pattern and distribution of process gas species in the chamber affects substrate processing uniformity, processing rates, and etching or deposition selectivity ratios. Some process chambers are designed with a gas flow distributor that provides a premixed gas composition into the chamber to improve processing uniformity. The premixed gas may include, for example, diluent and etchant gases, different types of deposition gases, or combinations of non-reactive and non-reactive gases. However, such gas distributors often cause inefficient utilization of the different components of the process gas and result in the release of an undesirably large amount of unconsumed non-reactive gas into the atmosphere. For example, some etch processes use as little as 10% of the non-reactive gases introduced into the chamber, the remaining 90% being exhausted from the chamber into the atmosphere. The unconsumed non-reactive gas may cause environmental problems, and consequently, may require abating or scrubbing before being exhausted, if the gas is toxic or otherwise hazardous. Also, conventional processing methods often do not allow the non-reactive and non-reactive gas flow pattern in the chamber to be adapted to different gas compositions or desired distributions, varying substrate processing requirements, or to compensate for different or asymmetrical exhaust port configurations.
Thus, it is desirable to have a chamber and gas distributor which can efficiently utilize the process gas introduced into the chamber. It is further desirable to be able to control the gas flow pattern and distribution of the different components of the process gas in the chamber to achieve the desired substrate processing characteristics. It is also desirable to be able to tune the process gas flow distribution into chamber to achieve the desired processing characteristics.
SUMMARY
A substrate processing chamber comprises a substrate support to support a substrate having a central portion and a perimeter, an exhaust conduit having a throttle valve, a first process gas distributor comprising one or more first gas outlets adapted to direct a first process gas about the substrate perimeter, and a first flow meter to regulate a first flow rate of the first process gas through the first gas outlets, a second process gas distributor comprising one or more second gas outlets adapted to direct a second process gas toward the central portion of the substrate, and a second flow meter to regulate a second flow rate of the second process gas through the second gas outlets, a gas energizer to energize the gases in the chamber, and a controller to operate the substrate support, first and second flow meters, gas energizer, and throttle valve, to process the substrate in an energized gas.
A substrate processing method comprises placing a substrate in a process zone, the substrate having a central portion and a perimeter about an exhaust zone, directing a first process gas at a first flow rate about the perimeter of the substrate, directing a second process gas at a second flow rate toward the central portion of the substrate, energizing the first and second process gases in the process zone to form an energized gas, and exhausting the energized gas via the exhaust zone.
A substrate processing chamber comprises a substrate support to support a substrate, an exhaust conduit at least partially about the substrate support, the exhaust conduit having a throttle valve, a gas distributor comprising (i) first gas outlets adapted to direct a non-reactive gas toward the exhaust conduit, and a first flow meter to regulate the flow of the non-reactive gas to a first flow rate, and (ii) second gas outlets adapted to direct a reactive gas toward the substrate support, and a second flow meter to regulate the flow rate of the reactive gas to a second flow rate, wherein the first flow rate is sufficiently higher than the second flow rate to form a curtain of the non-reactive gas about the reactive gas, a gas energizer to energize the gases in the chamber, and a controller to operate the substrate support, first and second flow meters, gas energizer, and throttle valve, to process a substrate in an energized gas.
A substrate processing method comprises placing a substrate in a process zone that is at least partially surrounded by an exhaust zone, the substrate having a central portion and a perimeter that borders the exhaust zone, directing a non-reactive gas at a first flow rate toward the exhaust zone and a reactive gas at a second flow rate toward the central portion of the substrate, wherein the first flow rate is sufficiently higher than the second flow rate to form a curtain of non-reactive gas about the reactive gas, energizing the gases in the process zone to process the substrate, and exhausting the gases through the exhaust zone.


REFERENCES:
patent: 4282267 (1981-08-01), Kuyel
patent: 4433228 (1984-02-01), Nishimatsu et al.
patent: 4576692 (1986-03-01), Fukuta et al.
patent: 4692343 (1987-09-01), Price et al.
patent: 4738748 (1988-04-01), Kisa
patent: 4818326 (1989-04-01), Liu et al.
patent: 4831963 (1989-05-01), Saito et al.
patent: 4863561 (1989-09-01), Freeman et al.
patent: 4867841 (1989-09-01), Loewenstein et al.
patent: 4935661 (1990-06-01), Heinecke et al.
patent: 4960488 (1990-10-01), Law et al.
patent: 5002632 (1991-03-01), Loewenstein et al.
patent: 5021114 (1991-06-01), Saito et al.
patent: 5024182 (1991-06-01), Kobayashi et al.
patent: 5062386 (1991-11-01), Christensen
patent: 5084126 (1992-01-01), McKee
patent: 5158644 (1992-10-01), Cheung et al.
patent: 5183510 (1993-02-01), Kimura
patent: 5269847 (1993-12-01), Anderson et al.
patent: 5282899 (1994-02-01), Balmashnov et al.
patent: 5312519 (1994-05-01), Sakai et al.
patent: 5324411 (1994-06-01), Ichishima et al.
patent: 5356478 (1994-10-01), Chen et al.
patent: 5382316 (1995-01-01), Hills et al.
patent: 5389197 (1995-02-01), Ishimaru
patent: 5413954 (1995-05-01), Aydil et al.
patent: 5449411 (1995-09-01), Fukuda et al.
patent: 5455070 (1995-10-01), Anderson et al.
patent: 5487785 (1996-01-01), Horiike et al.
patent: 5522934 (1996-06-01), Suzuki et al.
patent: 5532190 (1996-07-01), Goodyear et al.
patent: 5556521 (1996-09-01), Ghanbari
patent: 5637237 (1997-06-01), Oehrlein et al.
patent: 5653808 (1997-08-01), MacLeish et al.
patent: 5665640 (1997-09-01), Foster et al.
patent: 5683517 (1997-11-01), Shan
patent: 5683548 (1997-11-01), Hartig et al.
patent: 5710407 (1998-01-01), Moore et al.
patent: 5767628 (1998-06-01), Keller et al.
patent: 5772771 (1998-06-01), Li et al.
patent: 5814153 (1998-09-01), Ishikawa
patent: 5939831 (1999-08-01), Fong et al.
patent: 5958140 (1999-09-01

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Process chamber having multiple gas distributors and method does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Process chamber having multiple gas distributors and method, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Process chamber having multiple gas distributors and method will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3226430

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.