Power reduction for processor front-end by caching decoded...

Electrical computers and digital processing systems: memory – Storage accessing and control – Hierarchical memories

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C711S122000, C711S125000, C713S324000

Reexamination Certificate

active

06950903

ABSTRACT:
A power aware front-end unit for a processor may include a UOP cache that disables other circuitry within the front-end unit. In an embodiment, a front-end unit may disable instruction synchronization circuitry, instruction decode circuitry and, optionally, instruction fetch circuitry while instruction look-ups are underway in both a block cache and an instruction cache. If the instruction look-up indicates a miss, the disabled circuitry thereafter may be enabled.

REFERENCES:
patent: 4575814 (1986-03-01), Brooks, Jr. et al.
patent: 5381533 (1995-01-01), Peleg et al.
patent: 5461699 (1995-10-01), Arbabi et al.
patent: 5889999 (1999-03-01), Breternitz, Jr. et al.
patent: 5913223 (1999-06-01), Sheppard et al.
patent: 5924092 (1999-07-01), Johnson
patent: 5966541 (1999-10-01), Agarwal
patent: 5974538 (1999-10-01), Wilmot, II
patent: 6073213 (2000-06-01), Peled et al.
patent: 6076144 (2000-06-01), Peled et al.
patent: 6185675 (2001-02-01), Kranich et al.
patent: 6189140 (2001-02-01), Madduri
patent: 6216200 (2001-04-01), Yeager
patent: 6233678 (2001-05-01), Bala
patent: 6279103 (2001-08-01), Warren
patent: 6339822 (2002-01-01), Miller
patent: 6351844 (2002-02-01), Bala
patent: 6427188 (2002-07-01), Lyon et al.
patent: 6507921 (2003-01-01), Buser et al.
patent: 6535959 (2003-03-01), Ramprasad et al.
Solomon et al., “Micro-Operation Cache: A Power Aware Frontend for Variable Instruction Length ISA”, ISLPED'01, Aug. 6-7, 2001.
Bellas et al, “Architectural and Compiler Techniques for Energy Reduction in High Performance Microprocessors”,IEEE Transactions on VLSI, vol. 8, No. 3, Jun. 2000.
Black et al, “The Block-Based Trace Cache”, Proceedings of the 26th Intl. Symposium on Computer Architecture, IEEE Computer Society TCCA, ACM SIGARCH, Atlanta, Georgia, May 2-4, 1999.
Friendly et al, “Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism”, 30th Annual IEEE/ACM Intl. Symposium on Microarchitecture, Research Triangle Park, North Carolina, Dec. 1-3, 1997.
Intrater et al, “Performance Evaluation of a Decoded Instruction Cache for Variable Instruction-Length Computers”, 19th Annual Intl. Symposium on Computer Architecture, Gold Coast, Australia, May 19-21, 1992.
Jacobson et al, “Path-Based Next Trace Prediction”, 30th Annual IEEE/ACM Intl. Symposium on Microarchitecture, Research Triangle Park, North Carolina, Dec. 1-3, 1997.
Manne et al, “Pipeline Gating: Speculation Control for Energy Reduction”, Proceedings, 25th Annual Intl. Symposium on Computer Architecture, IEEE Computer Society Tech. Comm. on Computer Architecture, ACM SIGARCH, Barcelona, Spain, Jun. 27-Jul. 1, 1998.
Glaskowsky, Peter N., “Pentium 4 (Partially) Previewed”,Microprocessor Report, vol. 14, Archive 8, pp. 1, Aug. 11-13, 2000.
Papworth, David B., “Tuning the Pentium Pro Microarchitecture”,IEEE Micro, IEEE Computer Society, vol. 16, No. 2, Apr. 1996.
Upton, Michael, “The Intel Pentium® 4 Processor”, http://www.intel.com/pentium4, Oct. 2000.
Rotenberg et al, “Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching”, Proceedings, 29th Annual IEEE/ACM Intl. Symposium on Microarchitecture, MICRO-29, IEEE Computer Society Tech. Comm. on Microprogramming and Microarchitecture, Assn. for Computer Machinery SIGMICRO, Paris, France, Dec. 2-4, 1996.
Jourdan et al, “eXtended Block Cache”, Proceedings of Int'l. Symposium on High Performance Computer Architecture, pp 1-10, Jan. 2000.
Conte et al, “Optimization of Instruction Fetch Mechanisms for High Issue Rates, ”Proceedings of the 22nd Annual Int'l. Symposium on Computer Architecture,Jun. 22-24, 1995, Santa Margherita Ligure, Italy, pp. 333-344.
Dutta et al, “Control Flow Predicition with Tree-Like Subgraphs for Superscalar Processors, ”Proceedings of the 22nd Annual Int'l. Symposium on Computer Architecture,Jun. 22-24, 1995, Santa Marghertia Ligure, Italy, pp. 333-444.
Hennessy et al.,Computer Organization and Design: the hardware/software interface, 2ndEdition, Morgan Kaufmann Publishers, San Francisco, CA, 1998, p. 570.
Johnson, Mike,Superscalar Microprocessor Design,PTR Prentice-Hall, Englewood Cliffs, New Jersey, 1991, Chapter 10, pp. 177-202.
Jourdan et al, “eXtended Block Cache, ” Intel Corporation, Intel Israel, Haifa, 31015, Israel, pages 1-10.
McFarling, Scott, “Combining Branch Predictors, ” Jun. 1993, WRL Technical Note TN-36, Digital Western Research Laboratory, Palo Alto, CA, 25 pp.
Mchaud et al, “Exploring Instruction-Fetch Bandwidth Requirement in Wide-Issue Superscalar Processors,”Proceedings of the 1999 Int'l. Conference on Parallel Architectures and Compilation Techniques,Oct. 12-16, 1999, Newport Beach, CA, pp. 2-10.
Patel et al, “Improving Trace Cache Effectiveness with Branch Promotion and Trace Packing,” Proceedings of the 25thAnnual Int'l. Symposium on Computer Architecture,Jun. 27-Jul. 1, 1998, Barcelona, Spain, pp. 262-271.
Seznec et al, “Multiple-Block Ahead Branch Predictors,”Proceedings of the7thInt'l. Conference on Architectural Support for Programming Languages and Operating Systems,Oct. 1-4, 1996, Cambridge, MA, pp. 116-127.
Yeh et al, “Increasing the Instruction Fetch Rate via Multiple Branch Prediction and a Branch Address Cache,”Proceedings of the7thInt'l. Conference on Supercomputing,Jul. 1993, Tokyo, Japan, pp. 67-76.
Reinman et al, “A Scalable Front-End Architecture for Fast Instruction Delivery, ”Proceedings of the 26thInt'l. Symposium on Computer Architecture, May 2-4, 1999, Atlanta, GA, pp. 234-245.
Rothenberg et al, “Trace Processors, ”Proceedings of 30thAnnual IEEE/ACM International Symposium on Microarchitecture, Dec. 1, 1997, Research Traingle Park, NC, pp. 138-148.
Seznec et al, “Multiple-Block Ahead Branch Predictors, ”Proceedings of the 7thInt'l. Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 1-4, 1996, Cambridge, MA, pp. 116-127.
Yeh et al, “Increasing the Instruction Fetch Rate via Multiple Branch Prediction and a Branch Address Cache, ”Proceedings of the 7thInt'l. Conference on Supercomputing, Jul. 1993, Tokyo, Japan , pp. 67-76.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Power reduction for processor front-end by caching decoded... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Power reduction for processor front-end by caching decoded..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Power reduction for processor front-end by caching decoded... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3437305

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.