Plasma CVD dielectric film and process for forming the same

Active solid-state devices (e.g. – transistors – solid-state diode – Combined with electrical contact or lead – Of specified material other than unalloyed aluminum

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C428S446000, C428S450000

Reexamination Certificate

active

06340843

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a plasma CVD interlayer dielectric film formed between wiring conductor layers in a semiconductor device, and a process for forming the same.
2. Description of Related Art
Recently, there have been advances in micro-fabrication of a semiconductor integrated circuits. In particular, the trend is to multilevel interconnections in a logic integrated circuit. If spacing between adjacent metal wiring conductors in the multilevel interconnection becomes small, capacitance between the adjacent metal wiring conductors becomes large, with the result that various disadvantages occur. For example, the speed of an electric signal drops, and cross talk (imparting influence to another signal as noise) occurs.
One countermeasure is to form an interlayer dielectric film of an insulating material having a low dielectric constant. Recently, there has been reported to lower the specific dielectric constant from the order of 4.5 to the order to 2.8 to 4.3, by changing a plasma silicon oxide film (called a “P-SiO
2
film” hereinafter) which was used in the prior art and which was formed in a plasma chemical vapor deposition (called a “P-CVD process” hereinafter), to a fluorine containing plasma silicon oxide film (called a “P-SiOF film” hereinafter).
The dielectric constant can be lowered by increasing the fluorine concentration in the. P-SiOF film. However, if the fluorine concentration becomes too large, “resistance to moisture” (or “resistance to water absorption”) is deteriorated. Therefore, at a fluorine concentration which does not deteriorate the “resistance to moisture”, the dielectric constant cannot be so lowered (for example, dielectric constant on the order of 3.3). This is reported by N. HAYASAKA et al, “Fluorine Doped SiO
2
for Low Dielectric Constant Films in Sub-Half Micron ULSI Multilevel Interconnection”, 1995 International Conference on Solid State Devices and Materials, pages 157-159, the content of which is incorporated by reference in its entirety into this application.
In the case of forming a P-SiOF film in a semiconductor device, planarization is indispensable. In chemical mechanical polishing (called a “CMP”) used for planarizing the P-SiOF film, since a polishing liquid is used, insufficient “resistance to moisture” becomes a difficult problem. Therefore, when the CMP process is used for planarization, there is no means other than to lower the fluorine concentration thereby to resultantly increase the dielectric constant.
Referring to
FIG. 1
, there is shown a diagrammatic sectional view of a plasma CVD apparatus for illustrating one example of the process for forming the prior art plasma CVD dielectric film. This example of the prior art process for forming a P-SiOF film (which is one kind of plasma CVD dielectric film), is described in, for example, T. FUKADA et al, “Preparation of SiOF Films with Low Dielectric Constant by ECR Plasma Chemical Vapor Deposition”, 1993 International Conference on Solid State Devices and Materials, pages 158-160, the content of which is incorporated by reference in its entirety into this application.
In order to form a P-SiOF film, first, as material gases, O
2
gas and Ar gas are supplied through a gas nozzle
17
into a plasma chamber
20
, and on the other hand, SiF
4
gas is supplied through a gas nozzle
18
into a reaction chamber
19
communicating with the plasma chamber
20
. Then, in cooperation of a microwave introduced into the plasma chamber
20
and a magnetic field generated by a magnet coil
21
surrounding the plasma chamber
20
, an electron cyclotron resonance (ECR) plasma is created, so that the introduced gases are activated. Thus, a P-SiOF film having excellent step coverage property is formed on a wafer
31
held on an electrostatic chuck
22
supplied with a RF bias voltage from a RF power supply
23
.
The film thus formed is constituted of Si (silicon), F (fluorine) and O (oxygen), and the fluorine concentration is controlled by means of the flow rate of the SiF
4
gas, namely, the SiF
4
gas flow ratio (SiF
4
/O
2
). However, in the SiF
4
gas, Si and F cannot be controlled independently of each other, and therefore, it is not possible to form a P-SiOF film having a satisfactory low fluorine concentration, and therefore, in an actually formed P-SiOF film, a “resistance to moisture” is not sufficient.
As a countermeasure for the above problem, there has been proposed to add SiH
4
gas so as to control F independently of Si, thereby to form a P-SiOF film having a relatively fluorine concentration. This is reported by T. FUKADA et al, “PREPARATION OF SiOF FILMS WITH LOW DIELECTRIC CONSTANT BY ECR PLASMA CVD”, 1995 DUMIC Conference, Pages 43-49, the content of which is incorporated by reference in its entirety into this application.
However, in this proposed process, it is considered that not only Si, F and O but also H (hydrogen) are included in the film, so that the possibility of formation of Si—OH and H—OH increases, which act as hygroscopic or moisture absorbing sites, with the result that “resistance to moisture” is deteriorated. In other words, it is very difficult to determine an optimum condition which resultantly gives a satisfactory “resistance to moisture”.
Referring to
FIG. 2
, there is shown a diagrammatic sectional view of a plasma CVD apparatus for illustrating a second example of a process for forming a prior art plasma CVD dielectric film. This second example of the prior art process for forming the P-SiOF film is described in N. HAYASAKA et al, “High-Quality and Low Dielectric Constant SiO
2
CVD Using High Density Plasma”, 1993 Dry Process Symposium, pages 162-168, the content of which is incorporated by reference in its entirety into this application.
In this second prior art process, as shown in
FIG. 2
, as material gases, CF
4
gas and O
2
gas are supplied through a gas nozzle
24
into a plasma chamber
26
formed by a quartz tube
15
which is transparent to an electromagnetic wave, and a TEOS (tetraethoxysilane) gas is supplied through a gas nozzle
25
into a reaction chamber
27
. Due to the combination of a magnet coil
29
surrounding the plasma chamber
26
and an antenna
28
also surrounding the plasma chamber
26
and driven with a helicon plasma is generated and the gas is activated. Thus, a film is formed on a wafer
31
held on an electrostatic chuck
30
.
In the above mentioned second prior art process, no RF bias is applied. An example of applying an RF bias is disclosed by R. KATSUMATA et al, “Improvement in Hygroscopicity of PE-CVD F-doped SiO
2
”, 1995 Dry Process Symposium, pages 269-274, the content of which is incorporated by reference in its entirety into this application. The film formed in this process is constituted of Si, F, H, C (carbon), and O, but the fluorine concentration is controlled by the flow rate of the CF
4
gas and the ratio of the CF
4
gas to other gases. However, since C and F cannot be controlled independently of each other, a P-SiOF film having a satisfactory “resistance to moisture” cannot be obtained.
In the above mentioned prior art dielectric films and the prior art processes for forming the same, because Si and F cannot be controlled independently of each other, as in the SiF
4
/O
2
Ar gas supply system, or because C and F cannot be controlled independently of each other, as in the SiH
4
/O
2
/Ar/CF
4
gas supply system, it is not possible to obtain a dielectric film having not only a low dielectric constant and satisfactory “resistance to moisture” but also excellent “resistance to heat”. Why this desired dielectric film cannot be obtained will be discussed specifically in the following:
First, carbon has a property of elevating the “resistance to moisture”, but if the film contains excess carbon, the “resistance to heat” is deteriorated. For example, if the carbon concentration is 1-10
22
atoms/cc or more, in the prior art example in which CO
2
is used in place of O
2
, for example, in an example formed by using an SiH
4
/CO
2
/Ar/CF
4
gas supply sy

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Plasma CVD dielectric film and process for forming the same does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Plasma CVD dielectric film and process for forming the same, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Plasma CVD dielectric film and process for forming the same will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2839118

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.