Noise and power optimization in high performance circuits

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C716S030000, C716S030000

Reexamination Certificate

active

06721924

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Technical Field
The present invention generally relates to integrated circuit design. More particularly, the invention relates to a computer implemented method of modifying circuit characteristics that involves noise and power optimization.
2. Discussion
Integrated circuit (IC) design is a critical component to the development of personal computers (PCs), personal digital assistance (PDAs), wireless communication devices and many other systems. In order to achieve the desired functionality and speed, logic of varying complexity must often be developed for the IC. In the past, standard logic has been executed by complementary metal-oxide semiconductor (CMOS) circuitry, which is well documented and widely used in industry. More complex, high speed logic has used domino circuits, which include both dynamic and static gates. Domino circuitry is described in a number of sources as U.S. Pat. No. 6,275,071 to Ye et al.
It has been determined that a number of tradeoffs must be made when developing high speed circuits such as domino circuits. For example, power consumption is a particular parameter that is often at odds with timing constraints. As a general matter, in order to reduce delays, more robust, power consuming gates must be used. Other tradeoffs relate to noise reduction and real estate minimization.
Conventional approaches to modifying given circuit characteristics in accordance with the above-described tradeoffs involve determining a set of objective parameters such as available device sizes and power levels, and obtaining various constraints for the circuit. Typically, timing constraints and physical constraints are often used to provide practical limits on the reduction of power levels and real estate usage. Thus, signals must arrive “on time”, gates cannot be smaller than realistically possible, and the values of the objective parameters are optimized with these constraints in mind. While the above-described approach has been acceptable under certain circumstances, the increasing complexity of more recent logic architectures has brought to light a number of difficulties to be addressed.
A particular difficulty relates to noise. A major source of noise in an IC is capacitative and inductive coupling between two or more signal paths, and is often characterized as “crosstalk”. Although noise coupling can have profound effects on timing and power considerations, and can lead to functional failure in domino logic, conventional approaches to circuit optimization often do not take into account these effects.
Another concern with regard to IC design is the manner in which traditional technologies approach the actual optimization. For example, earlier designs worked with only one path at a time, rather than performing a simultaneous solution of tradeoffs in sizing across a multi-output block. It has been determined that such path-wise optimization methods can exhibit unreliable convergence even if margins and interaction with place-and-route is neglected. While certain attempts have been made at simultaneous optimization, the inability to consider noise constraints limits their practical usefulness. Furthermore, these approaches do not include a mechanism for employing realistic gate delay models, especially if these models are non-convex and discrete.
Additionally, reported methods do not describe a mechanism for leveraging the cost-function information from the results of an optimization step. Such a mechanism would enable re-synthesis of netlists in order to improve power versus delay optimization. Conventional methods also do not show wiring/shielding directives and power-cost sensitivities being used to facilitate convergence with logic synthesis and place-and-route operations. Furthermore, designer or project input templates cannot adequately be used to configure and control the optimization and synthesis processes. In addition, many conventional methods of modifying circuit characteristics do not demonstrate the ability to optimize a mix of fixed and continuously tunable gates.


REFERENCES:
patent: 5999714 (1999-12-01), Conn et al.
patent: 6493853 (2002-12-01), Savithri et al.
patent: 6532574 (2003-03-01), Durham et al.
patent: 2003/0079191 (2003-04-01), Savithri et al.
ECSTASY: A New Environment for IC Design Optimization, IEEE International Conference on Computer-Aided Design, pp. 484-487, Nov. 1988.*
Conn et al., Circuit Optimization via Adjoint Lagrangians, 1997 IEEE/ACM International Conference on Computer-Aided Design, pp. 281-288, Nov. 1997.*
Conn et al., Noise Considerations in Circuit Optimization, 1998 IEEE/ACM International Conference on Computer-Aided Design, pp. 220-227, Nov. 1998.*
TILOS: A Posynomial Programming Approach to Transistor Sizing, Fishburn, J.P., Dunlop, A.E. Available from IEEE Service Cent. (Cat. Publ. by IEEE, New York, NY, USA, N 85CH2233-5), Piscataway, NJ, USA p. 326-328.
Timing driven cell replication during placement for cycle time optimization. Neumann, Ingmar and Post, Hans-Ulrich. Integration-The VLSA Journal 27 (1999) pp. 131-141.
Gate Size Optimization for Row-based Layouts, Maheshwari, Naresh and Sapatnekar, Sachin S.; Midwest Symposium on Circuits and Systems, vol. 2 (1995). IEEE, Piscataway, NJ, USA 95CB35853, pp. 777-780.
Automatic transistor sizing in high performance CMOS logic circuits. Hoppe, B; Neuendorf, G; and Schmidt-Landsiedel D.; VLSI and Computer Peripherals. Available from IEEE Service Cent. (Cat. Publ. by IEEE, IEEE Service Center, Piscataway, NJ, USA., Catalog No. 89CH2704-5), Piscataway, NJ, USA, pp. 5/25-27.
Timing optimization of mixed static and domino logic. Zhao, Min, Sapatnekar, Sachin S. Proceedings—IEEE International Symposium on Circuits and Systems. v. 6 1998. IEEE, Piscataway, NJ, USA 98CH36187, pp. 266-269.
Interleaving Buffer Insertion and Transistor Sizing into a Single Optimization. Jiang, Yanbin; Sapatnekar, Sachin S.; Bamjil, Cyrus; and Kim, Juho; Available from IEEE Service Cent (Cat. Publ by IEEE, New York, NY, USA. 1063-8210/98), Piscataway, NJ, USA, pp. 625-633.
Mosiz: A Two-step Transistor Sizing Algorithm based on Optimal Timing Assignment Method for Multi-stage Complex Gates. Dai, Zhi-jian and Asada, Kunihiro; Available from IEEE Service Cent. (Cat. Publ. by IEEE, New York, NY, USA, CH2671-6/89/0000/0201), Piscataway, NJ, USA, pp. 17.3.1-17.3.4.
iCOACH: A circuit optimization aid for CMOS high-performance circuits. Chen, H.Y. and Kwang, S.M. Available from Elsevier Integration, the VLSI journal 10 (1991) 185-212.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Noise and power optimization in high performance circuits does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Noise and power optimization in high performance circuits, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Noise and power optimization in high performance circuits will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3231405

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.