Multiple clock domain microprocessor

Electrical computers and digital processing systems: support – Clock – pulse – or timing signal generation or analysis – Multiple or variable intervals or frequencies

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C713S322000, C713S600000

Reexamination Certificate

active

07739537

ABSTRACT:
A multiple clock domain (MCD) microarchitecture uses a globally-asynchronous, locally-synchronous (GALS) clocking style. In an MCD microprocessor each functional block operates with a separately generated clock, and synchronizing circuits ensure reliable inter-domain communication. Thus, fully synchronous design practices are used in the design of each domain.

REFERENCES:
patent: 5309561 (1994-05-01), Overhouse et al.
patent: 5710910 (1998-01-01), Kehl et al.
patent: 5796995 (1998-08-01), Nasserbakht et al.
patent: 6047248 (2000-04-01), Georgiou et al.
patent: 6047382 (2000-04-01), Maley et al.
patent: 6463547 (2002-10-01), Bailey et al.
patent: 6487675 (2002-11-01), Sager et al.
patent: 6748039 (2004-06-01), Bates
patent: 7089443 (2006-08-01), Albonesi et al.
patent: 7451337 (2008-11-01), Hewitt
patent: 2003/0043936 (2003-03-01), Forbes
patent: 2003/0071657 (2003-04-01), Soerensen et al.
patent: 2004/0017234 (2004-01-01), Tam et al.
patent: 2004/0025069 (2004-02-01), Gary et al.
patent: 2004/0044915 (2004-03-01), Bose et al.
patent: 2005/0141257 (2005-06-01), Kessels et al.
D. H. Albonesi. Dynamic IPC/Clock Rate Optimization. Proceedings of the 25th International Symposium on Computer Architecture, pp. 282-292, Jun. 1998.
F. Bellosa. OS-Directed Throttling of Processor Activity for Dynamic Power Management. Technical Report TR-14-3-99, C.S. Dept., University of Erlangen, Germany, Jun. 1999.
F. Bellosa. The Benefits of Event-Driven Energy Accounting in Power-Sensitive Systems. In Proceedings of the 9th ACM SIGOPS European Workshop, Sep. 2000.
L. Benini, A. Bogliolo, S. Cavallucci, and B. Ricco. Monitoring System Activity for OS-directed Dynamic Power Management. In Proceedings of the International Symposium on Low-Power Electronics and Design, Aug. 1998.
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Frame-work for Architectural-Level Power Analysis and Optimizations. In Proceedings of the 27th International Symposium on Computer Architecture, Jun. 2000.
D. Burger and T. Austin. The Simplescalar Tool Set, Version 2.0. Technical Report CS-TR-97/1342, University of Wisconsin, Madison, Wis., Jun. 1997.
J. Casmira and D. Grunwald. Dynamic Instruction Scheduling Slack. In Proceedings of the Kool Chips Workshop, in conjunction with the 33rd International Symposium on Microarchitecture (MICRO-33), Dec. 2000.
B. Chappell. The fine art of IC design. IEEE Spectrum, 36(7):30-34, Jul. 1999.
B. R. Childers, H. Tang, and R. Melhem. Adapting Processor Supply Voltage to Instruction-Level Parallelism. In Proceedings of the Kool Chips Workshop, in conjunction with the 33rd International Symposium on Microarchitecture (MICRO-33), Dec. 2000.
J. H. Edmondson et al. Internal Organization of the Alpha 21164, a 300-MHz 64-bit Quad-issue CMOS RISC Microprocessor. Digital Technical Journal, 7(1):119-135, 1995. Special Edition.
B. Fields, S. Rubin, and R. Bodik. Focusing Processor Policies via Critical-Path Prediction. In Proceedings of the 28th International Symposium on Computer Architecture, Jul. 2001.
M. Fleischmann. Longrun.TM. power management. Technical report, Transmeta Corporation, Jan. 2001.
P. N. Glaskowsky. Pentium 4 (Partially) Previewed. Microprocessor Report, 14(8):1,11-13, Aug. 2000.
K. Govil, E. Chang, and H. Wasserman. Comparing Algorithms for Dynamic Speed-Setting of a Low-Power CPU. In Proceedings of the 1st ACM/IEEE International Conference on Mobile Computing and Networking, pp. 13-25, Nov. 1995.
T. R. Halfhill. Transmeta breaks x86 low-power barrier. Microprocessor Report, 14(2), Feb. 2000.
T. Horel and G. Lauterbach. UltraSPARC III: Designing Third-Generation 64-Bit Performance. IEEE Micro, 19 (3):73-85, May/Jun. 1999.
C.-H. Hsu, U. Kremer, and M. Hsiao. Compiler-Directed Dynamic Frequency and Voltage Scaling. In Proceedings of the Workshop on Power-Aware Computer Systems, in conjunction with the 9th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-IX), Nov. 2000.
C. J. Hughes, J. Srinivasan, and S. V. Adve. Saving Energy with Architectural and Frequency Adaptations for Multimedia Applications. In Proceedings of the 34th Annual International Symposium on Microarchitecture (MICRO-34), Dec. 2001.
R. E. Kessler, E. J. McLellan, and D. A. Webb. The Alpha 21264 Microprocessor Architecture. In Proceedings of the International Conference on Computer Design, pp. 90-95, Austin, Tex., Oct. 1998. IEEE Computer Society.
S. Leibson. XScale (StrongArm-2) Muscles In. Microprocessor Report, 14(9):7-12, Sep. 2000.
T. Li and C. Ding. Instruction Balance, Energy Consumption and Program Performance. Technical Report UR-CS-TR-739, Computer Science Dept., University of Rochester, Dec. 2000. Revised Feb. 2001.
D. Marculescu. On the Use of Microarchitecture-Driven Dynamic Voltage Scaling. In Proceedings of the Workshop on Complexity-Effective Design, in conjunction with the 27th International Symposium on Computer Architecture, Jun. 2000.
D. Matzke. Will Physical Scalability Sabotage Performance Gains? IEEE Computer, 30(9):37-39, Sep. 1997.
T. Pering, T. Burd, and R. W. Brodersen. The Simulation and Evaluation of Dynamic Voltage Scaling Algorithms. In Proceedings of the International Symposium on Low-Power Electronics and Design, Aug. 1998.
R. Pyreddy and G. Tyson. Evaluating Design Tradeoffs in Dual Speed Pipelines. In Proceedings of the Workshop on Complexity-Effective Design, in conjunction with the 28th International Symposium on Computer Architecture, Jun. 2001.
L. F. G. Sarmenta, G. A. Pratt, and S. A. Ward. Rational Clocking. In Proceedings of the International Conference on Computer Design, Austin, Tex., Oct. 1995.
A. E. Sjogren and C. J. Myers. Interfacing Synchronous and Asynchronous Modules Within a High-Speed Pipeline. In Proceedings of the 17th Conference on Advanced Research in VLSI, pp. 47-61, Ann Arbor, Mich., Sep. 1997.
G. Sohi. Instruction Issue Logic for High-Performance Interruptible, Multiple Functional Unit, Pipelined Computers. ACM Transactions on Computer Systems, 39(3):349-359, Mar. 1990.
M. Weiser, A. Demers, B. Welch, and S. Shenker. Scheduling for Reduced CPU Energy. In Proceedings of the 1st USENIX Symposium on Operating Systems Design and Implementation, Nov. 1994.
Semeraro et al., “Energy-Efficient Processor Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling”, Proceedings of the Eighth International Symposium on High-Performance Computer Architecture, Feb. 6, 2002.
Semeraro et al., “Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling”, Feb. 2002, 12 pgs.
L.T. Clark, “Circuit Design of XScale™ Microprocessors”, in 2001 Symposium on VLSI Circuits, Short Course on Phsyical Design for Low-Power and High-Performance Microprocessor Circuits, IEEE Solid-State Circuits Society, Jun. 2001.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Multiple clock domain microprocessor does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Multiple clock domain microprocessor, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Multiple clock domain microprocessor will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-4173752

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.