Multi-domain clock skew scheduling

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000

Reexamination Certificate

active

10701911

ABSTRACT:
The present invention provides a process for constrained clock skew scheduling which computes for a given number of clocking domains the optimal phase shifts for the domains and the assignment of the individual registers to the domains. For the within domain latency values, the algorithm can assume a zero-skew clock delivery or apply a user-provided upper bound. Experiments have demonstrated that a constrained clock skew schedule using a few clocking domains combined with small within-domain latency can reliably implement the full sequential optimization potential to date only possible with an unconstrained clock schedule.

REFERENCES:
patent: 6550045 (2003-04-01), Lu et al.
patent: 6873187 (2005-03-01), Andrews et al.
Sapatnekar, S.S. and Deokar, R. B. ; “Utilizing the Retiming-skew Equivalent in a Practical Algorithm for Retiming Large Circuits”, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transaction vol. 15, issue 10, pp. 1237-1248.
Nakamura, K.; Maruoka, S.; Kimura, S.; Watanbe, K. ; “Multi-Clock Path Analysis Using Propositional Satisfiability”, Design Automation Conference, 2000. Proceedings of the ASP-DAC 2000. Asia and South Pacific Jan. 25-28, 2000 pp. 81-86.
Dasdan A.,Sandy S. Irani, Rajesh K. Gupta; “Efficient Algorithms for Optimum Cycle Mean and Optimum Cost to Time Ratio Problems”, Jun. 1999, Proceedings of the 36th ACM/IEEE conference on Design Automation.
Deokar, R.B.; Sapatnekar, S.S.; “A Graph-theoretic Approach to Clock Skew Optimization” Circuit and Systems, 1994. ISCAS'94, 1994 IEEE International Symposium on vol. 1, May 30-Jun. 2, 1994 pp. 407-410 vol. 1.
Ravindran K., Kuehlmann A., Sentovich E., “Multi-Domain Clock Skew Scheduling”, Nov. 2003 Proceedings of the 2003 IEEE/ACM international conference on Computer-aid design.
C. Albrecht et al., “Cycle Time and Slack Optimization for VLSI-Chips,” 1999 IEEE, pp. 232-238.
J.P.Fishburn et al., “Clock Skew Optimization,” IEEE Transactions on Computers, vol. 39, No. 7, Jul. 1990, pp. 945-951.
K.Kurokawa et al., “A Practical Clock Tree Synthesis for Semi-Synchronous Circuits,” IEICE Trans. Fundamentals, vol. E84-A, No. 11, Nov. 2001, pp. 2705-2713.
M.W.Moskewicz et al., “Chaff: Engineering an Efficient SAT Solver,” DAC 2001, pp. 530-535.
E.M.Sentovich et al., “Sequential Circuit Design Using Synthesis and Optimization,” 1992 IEEE, pp. 328-333.
D.P.Singh et al., “Constrained Clock Shifting for Field Programmable Gate Arrays,” FPGA 2002, 6 pages.
N.E.Young et al., “Faster Parametric Shortest Path and Minimum Balance Algorithms,” NETWORKS, vol. 21:2, Mar. 1991, 17 pages.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Multi-domain clock skew scheduling does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Multi-domain clock skew scheduling, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Multi-domain clock skew scheduling will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3820889

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.