Method of post-implementation simulation of a HDL design

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C716S030000, C717S127000, C703S014000

Reexamination Certificate

active

07086017

ABSTRACT:
A method of post-implementation simulation of a hardware description language (HDL) net list file, that does not match a HDL design file from which it was synthesized, comprises the steps of: creating a remap file which translates ports between the HDL net list file and the HDL design file; and simulating the HDL net list file utilizing the remap file and a (HDL) test bench file created for pre-implementation simulation of the HDL design file. The method may be executed in an integrated software environment or a batch software environment.

REFERENCES:
patent: 5991523 (1999-11-01), Williams et al.
patent: 6247165 (2001-06-01), Wohl et al.
patent: 6530073 (2003-03-01), Morgan
patent: 6539531 (2003-03-01), Miller et al.
patent: 6581191 (2003-06-01), Schubert et al.
patent: 6629312 (2003-09-01), Gupta
patent: 6779158 (2004-08-01), Whitaker et al.
patent: 6904577 (2005-06-01), Schubert et al.
patent: 2001/0000427 (2001-04-01), Miller
patent: 2002/0129341 (2002-09-01), Hibdon
patent: 2002/0162086 (2002-10-01), Morgan
patent: 2003/0125925 (2003-07-01), Walther et al.
patent: 2004/0015900 (2004-01-01), Kawakatsu
Bartley, Mike G; Galpin, Daren; Blackmore, Tim; A Comparison of Three Verification Techniques: Testing, Pseudo-Random Testing and Property Checking:, p. 819-823, DAC Jun. 2002, retrieved from ACM Portal Jun. 12, 2005.
Hajjar, Amjad; Chen, Tom; Munn, Isabelle; Andrews, Anneliese; Bjorkman, Maria; “High Quality Behavioral Verification Using Statistical Stopping Criteria”, p. 411-418, 2001 IEEE, retrieved Jun. 12, 2005.
Novakovsky, Sasha; Shyman; Shy; Hanna, Ziyad; “High Capacity and Automatic Functional Extraction Tool for Industrial VLSI Circuit Designs”, p. 520-525, retrieved Jun. 12, 2005.
Pisini, VK; Tahar, S; Curzon, P; Ait-Mohamed, O; Song, X; “Formal Hardware Verification by Integrating HOL and MDG”, ACM 2000, retrieved Jun. 12, 2005.
Xilinx, Inc.; HDL Bencher User's Guide, Copyright 1999-2000; available from Xilinx, Inc., 2100 Logic Drive, San Jose, California 95124; pp. 1-47.
U.S. Appl. No. 10/046,870, filed Jan. 15, 2002, Bloom et al.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of post-implementation simulation of a HDL design does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of post-implementation simulation of a HDL design, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of post-implementation simulation of a HDL design will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3659322

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.