Method of on-chip interconnect design

Computer-aided design and analysis of circuits and semiconductor – Nanotechnology related integrated circuit design

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C703S013000

Reexamination Certificate

active

06279142

ABSTRACT:

TECHNICAL FIELD
The present invention relates in general to integrated circuit fabrication methods, and in particular, to early-stage design of on-chip interconnects to reduce on-chip signal coupled noise and signal transition rate degradation.
BACKGROUND INFORMATION
Continued advances in silicon complementary metal oxide semiconductor (CMOS) technologies have yielded significant increases in both circuit speed and wiring density. These improvements have resulted from reductions in device physical parameters, wire physical dimensions and power supply voltages. As a result of scaling to sub-micron dimensions, a significant proportion of propagation delays are transferred from the logic gates to the on-chip interconnecting wires due to the increase in serial RC effects. This causes a degradation of the transition, or slew rate, of the driving signal, resulting in additional net delay.
Furthermore, the scaling has led to wire geometries with aspect ratios (thickness/width) greater than one. The increased aspect ratios along with the reduction in conductor spacings resulting from the scaling to smaller dimensions gives rise to increased coupling capacitance to neighboring wires. This increases signal coupled noise to nearby nets. In addition, lower power supply voltages reduce the noise margin of logical circuits, making chip functionality more sensitive to interconnect parasitic effects.
As a consequence, data integrity issues are exacerbated as integrated circuit sizes are reduced. These issues include false switch or latch disturb problems due to excessive line to line noise coupling. The influence of adjacent lines switching, in conjunction with poor transition rates in the target net, can create a significant delay variation or noise jitter which can be detrimental to chip functionality, performance and reliability. In fabrication methodologies according to the prior art, these are typically resolved in post-route noise and jitter checks. For nets that failed to conform to noise and slew rate specification, iterating post-route designs to bring the offending networks into conformance with the specifications is costly.
Although methods of pre-route design have been used in the art, these have been limited in their effectiveness. A wire rule based approach has been used to address noise and slew rate criteria in the pre-route phase of IC design. See, Howard Smith and Noises Cases, “Wire Rule Methodology for On-Chip Interconnects,” IEEE EPEP 96, pp. 33-35, October 1996. This methodology admits only a single net length. Thus, noise margin and slew rate designs using this methodology relies on a simple “averaged” receiver position to represent each receiver in the net.
Therefore, there is a need in the art for a design methodology that reduces the need for post-route design iterations. Such a methodology would address on-chip signal coupled noise and slew rate degradation in the early stages of the chip design cycle.
SUMMARY OF THE INVENTION
The aforementioned needs are addressed by the present invention. Accordingly, there is provided, in a first form, a method of integrated circuit (IC) design. The method includes the steps of generating a resistor/capacitor (RC) network for a current net, and assigning a parasitic coupling capacitance and a shunt capacitance to a reference electrode for each network capacitor in the RC network. The capacitances are derived from a three dimensional (3-D) field solution for a preselected wire geometry. These wire geometries are specified as a conductor width and associated minimum spacing to an assumed neighboring net. A first circuit simulation of the current net including the RC network from the assigning step, wherein the first circuit simulation outputs net noise signals generated in response to a noise source.
Additionally, there is provided, in a second form a computer program product on a computer readable medium for IC design. The computer program product includes programming for generating a resistor/capacitor (RC) network for a current net and programming for assigning a parasitic coupling capacitance and a shunt capacitance to a reference electrode for each network capacitor in the RC network.
The capacitances are derived from a three dimensional (3-D) field solution for a preselected wire geometry. Also includes is programming for performing a first circuit simulation of the current net including the RC network from the assigning step, wherein the first fast circuit simulation outputs net noise signals generated in response to a noise source.
There is also provided, in a third form, a data processing system for integrated circuit (IC) design having circuitry operable for generating a resistor/capacitor (RC) network for a current net, and circuitry operable for assigning a parasitic coupling capacitance and a shunt capacitance to a reference electrode for each network capacitor in the RC network, wherein the capacitances are derived from a three dimensional (3-D) field solution for a preselected wire geometry. The data processing system further includes circuitry operable for performing a first circuit simulation of the current net including the RC network from the assigning step, wherein the first fast circuit simulation outputs net noise signals generated in response to a noise source.
The foregoing has outlined rather broadly the features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter which form the subject of the claims of the invention.


REFERENCES:
patent: 4615011 (1986-09-01), Linsker
patent: 5341310 (1994-08-01), Gould et al.
patent: 5359535 (1994-10-01), Djaja et al.
patent: 5381345 (1995-01-01), Takegami et al.
patent: 5446674 (1995-08-01), Ikeda et al.
patent: 5479357 (1995-12-01), Yoshimura
patent: 5502649 (1996-03-01), Hirata
patent: 5568395 (1996-10-01), Huang
patent: 5596506 (1997-01-01), Petschauer et al.
patent: 5610833 (1997-03-01), Chang et al.
patent: 5682336 (1997-10-01), Chian et al.
patent: 5828580 (1998-10-01), Ho
patent: 5923568 (1999-07-01), Oh et al.
patent: 5999726 (1999-12-01), Ho
patent: 6018623 (2000-01-01), Chang et al.
patent: 6057171 (2000-05-01), Chou et al.
patent: 6061508 (2000-05-01), Mehrotra et al.
Moises Cases et al., “Deep Submicron Design Issues for a 6.9 Million Transistor Microprocessor”, Proceedings DesignCon '98 On-Chip System Design Conference, Jan. 26-29, 1998, Santa Clara, CA pp. 333-355.
B. J. Rubin et al., “Calculation of Multi-Port Parameters of Electronic Packages Using a General Purpose Electromagnetics Code”, Proceedings of the IEEE 2nd Topical Meeting on Electrical Performance of Electronic Packaging, EPEP '93, Oct. 20-23, Monterey, CA, pp. 37-39, (1993).
Curtis L. Ratzlaff et al., “RICE: Rapid Interconnect Circuit Evaluation Using AWE”,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 13, No. 6, Jun. 1994, pp. 763-776.
Howard Smith et al., Proceedings of the IEEE 5th Topical Meeting on Electrical Performance of Electronic Packaging, pp. 33-35 (Oct. 1996).

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of on-chip interconnect design does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of on-chip interconnect design, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of on-chip interconnect design will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2547311

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.