Method of etching an object, method of repairing pattern,...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S289000

Reexamination Certificate

active

06531403

ABSTRACT:

BACKGROUND OF THE INVENTION
This invention relates to a method of etching an object, a method of repairing a pattern, a nitride pattern and a semiconductor device, and more particularly to methods for making and repairing a microstructure pattern of a nitride film such as chromium nitride (CrN
x
) or gallium nitride (GaN) usable for manufacturing semiconductor devices or optical devices, and a nitride pattern obtained by any of those methods as well as a semiconductor device having such a nitride pattern.
The invention is applicable to various fields such as masks suitable for use in exposure processes using various beams like optical or electrically charged beams or x-rays, or liquid crystal displays using those masks.
A lot of nitrides including metallic nitrides or nitride semiconductors are materials that contribute to enhancing higher performances and higher functions of various devices such as electronic devices and optical devices, for example, importance of process techniques for making microstructure patterns of various nitrides is progressively increasing. For example, metal material films containing chromium (Cr) as their major components are currently used most in opaque or attenuating phase-shift materials of photomasks (or reticles) for exposure or transference in photolithography. Additionally, since the use of chromium nitride (CrN
x
) films facilitates to control the film stress, they have been proved to be useful also as hard mask materials for making absorber patterns for X-ray exposure masks (Japanese Patent Laid-Open Publication No. 11-65095). Then, along with microminiaturization of LSI elements and other circuit elements, there is a strong demand for developments of techniques for making microstructure patterns of exposure mask material films, especially those containing chromium as their major component.
In the field of lithography, for the purpose of transference or resolution of patterns smaller than exposure wavelengths, the use of optical proximity repair (OPC) masks and phase shift masks is indispensable for accurately controlling complicated geometry of mask patterns and pattern sizes.
With reduced pattern size of films made of those materials containing chromium as their major component, dry etching using chlorine (Cl
2
) is currently used in lieu of conventional wet etching. In the process of making microstructure patterns by dry etching, there is the problem that “micro-loading effect” occurs due to a difference in pattern density and degrades the uniformity of pattern sizes. The “micro-loading effect” pertains to a phenomenon occurring upon simultaneously etching a pattern of a higher density and a pattern of a lower density: due to a difference in etching rate of a film from a location to another, the amount of reaction products by etching becomes locally dense or sparce, and convection of a large amount of reaction products by etching with a low volatility causes an un-uniformity in etching rate. Therefore, in the process of manufacturing photomasks or semiconductor integrated circuits, efforts are made toward suppressing the micro-loading effect by placing a dummy pattern in a region having no circuit pattern to be made.
On the other hand, not only microprocessing techniques, but also defect inspection/repair are needed for advanced photolithography. In case of fine, complex features, inspection and repair of defects especially in OPC masks and various types of phase shift masks are not limited to the inspection and repair pinholes or particles, for example, but include inspection and repair of defects of transparency of films, phase shift difference, or the like, in addition of defects of micro OPC patterns, foreign matters, image placement error of patterns and CD deviation of sizes, establishment of techniques for accurate inspection and repair for that purpose is longed for.
Currently employed defect repair techniques include those using a laser and those using a focused ion beam, and the latter is used more commonly. A repair technique using a focused ion beam is configured to remove “opaque defects” like bridges by irradiating them with a gallium ion (Ga
+
) beam while blowing an etching gas, if necessary, and correct “clear defects” like pinholes by irradiating them with a gallium ion (Ga
+
) beam while blowing a carbon-hydrogen gas to make a carbon compound coating.
Pattering of nitride semiconductor with fine features has become essential for the fabrication of more advanced devices of various types. For example, light emitting diodes using III-V nitride semiconductors such as GaN, AlN, InGaN, and so on, are being brought into practical use as light sources over a wavelength range from ultraviolet to green. Additionally, researches and developments are progressing toward their use as electronic devices using their properties as wide gap semiconductors. Then for higher performance of those devices, trials are being made for making fine patterns of various nitride semiconductors. Heretofore, however, plasma etching techniques using the etching gas, containing Cl
2
(chlorine) or F
2
(fluorine) gases have been used in etching processes, and these techniques involve the problems that high-density plasma damages surfaces of devices and changes in composition ratio of Ga/N, etc. on surfaces.
Reaction products by etching of chromium (Cr)-group materials are usually low in volatility and are liable to cause the micro-loading effect in dry etching. Therefore, it is an important issue how CD (critical dimension) controllability is enhanced in photomasks or reticles or in other circuit substrates.
Especially regarding a photomasks having an OPC pattern, since the pattern size of an OPC pattern is smaller than that of other patterns, and highly anisotropic etching is required there. Although the bias voltage to the substrate and the vapor pressure of the etchant gas, the reaction products are driven to adhere the side-walls of patterns by high pressure of the etchant gas and this adhesion of reaction products prevents from the etchant adhering to the etching material. As a result, the micro-loading effects is liable to occur, and it is extremely difficult for the mask to be CD controlled in the reticle plane. If the CD controllability is poor, the resultant pattern size of the reticle will be un-uniform between its central portion and its peripheral portion.
Conventionally proposed techniques with a dummy pattern require simulation calculation for optimizing the pattern configuration and densities using dummy patterns, and it is difficult to simplify a manufacturing process or make it inexpensive by using such techniques for the mask with OPC patterns.
Dry etching using a chlorine-group gas involves another problem caused by toxicity of the chlorine gas to human bodies and its high corrosiveness, for which there is the need for nitrogen purge of the chambers and pipes after etching and the need for extreme attention in handling it.
Furthermore, in case of repairing defects of photomasks, reticles or various kinds of circuit substrates using chromium-based films, opaque defect repair by a focused ion beam or a laser beam may excessively remove irradiated portions of substrates other than the defects, or may result in deterioration of transmittance by the implanted gallium ions, and damages to transparent substrates were a serious problem.
Similarly to etching of chromium-based materials, also in fabrication of patterns of various kinds of metallic nitrides and nitride semiconductors or other materials containing nitrogen, the micro-loading effect and defects of patterns occur in the etching process, and suppression and repair of them are serious issues when fabricating devices.
SUMMARY OF THE INVENTION
Under acknowledgement of those problems, it is an object of the invention to provide a method of etching an object, a method of repairing a pattern, a nitride pattern and a semiconductor device that suppress or minimize the micro-loading effect as a result of etching of a nitride material with increasing the volatility of reaction pro

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of etching an object, method of repairing pattern,... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of etching an object, method of repairing pattern,..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of etching an object, method of repairing pattern,... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3057594

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.